From 907cdadf9ac940df932db2bb25b6e53d3066b73c Mon Sep 17 00:00:00 2001 From: Susan Li Date: Fri, 30 Mar 2018 13:47:54 -0400 Subject: [PATCH] Add data --- dataset.csv | 2507 +++++++++++++++++++++++++++++++++++++++++++++++++++ 1 file changed, 2507 insertions(+) create mode 100644 dataset.csv diff --git a/dataset.csv b/dataset.csv new file mode 100644 index 0000000..546e437 --- /dev/null +++ b/dataset.csv @@ -0,0 +1,2507 @@ +Innovation in Database Management: Computer Science vs. Engineering. +High performance prime field multiplication for GPU. +enchanted scissors: a scissor interface for support in cutting and interactive fabrication. +Detection of channel degradation attack by Intermediary Node in Linear Networks. +Pinning a Complex Network through the Betweenness Centrality Strategy. +Analysis and Design of Memoryless Interconnect Encoding Scheme. +Dynamic bluescreens. +A Quantitative Assured Forwarding Service. +Automatic sanitization of social network data to prevent inference attacks. +A ΔΣ IR-UWB radar with sub-mm ranging capability for human body monitoring systems. +Architecture of a multi-slot main memory system for 3.2 Gbps operation. +Rule-based Service Customization via Houdini. +Business Policy Modeling and Enforcement in Databases. +A high speed and high linearity OTA in 1-V power supply voltage. +PREDIcT: Towards Predicting the Runtime of Large Scale Iterative Analytics. +SocialSensor: sensing user generated input for improved media discovery and experience. +Parametric keyframe interpolation incorporating kinetic adjustment and phrasing control. +An Explanation for Unexpected 802.11 Outdoor Link-level Measurement Results. +Hot Block Clustering for Disk Arrays with Dynamic Striping. +Analysis of propagation delay in 3 - D stacked DRAM. +ISHair: importance sampling for hair scattering. +Green Wave: Latency and Capacity-Efficient Sleep Scheduling for Wireless Networks. +Exploiting innocuous activity for correlating users across sites. +Optimized numerical mapping scheme for filter-based exon location in DNA using a quasi-Newton algorithm. +Nyquist criterion based design of continuous time Sigma Delta modulators. +Fast Transient Simulation of Lossy Transmission Lines. +Image sensor with focal plane polarization sensitivity. +Power-oriented delay budgeting for combinational circuits. +Sensor Network Navigation without Locations. +Simplified logic design methodology for fuzzy membership function based robust detection of maternal modulus maxima location: A low complexity Fetal ECG extraction architecture for mobile health monitoring systems. +E-V: Efficient visual surveillance with electronic footprints. +Analysis of Price Competition in a Slotted Resource Allocation Game. +A language for shading and lighting calculations. +Integration of Streaming and Elastic Traffic in Wireless Networks. +MATE: MPLS Adaptive Traffic Engineering. +Surround-screen projection-based virtual reality: the design and implementation of the CAVE. +Output Characteristics of Class E Amplifier With Nonlinear Shunt Capacitance Versus Supply Voltage. +Predicting reflectance functions from complex surfaces. +ActionView: a Movement-analysis Ambulatory Monitor in Elderly Homecare Systems. +Live demonstration: Packet-based AER with 3Gevent/s cumulative throughput. +Adaptive electricity scheduling in microgrids. +Design of a 64-bit Low-energy High-performance Adder using Dynamic Feedthrough Logic. +Minimax Design of IIR Digital Filters using SDP Relaxation Technique. +Propagation and Reflection of Phase Differences on a Lattice of Coupled Oscillators. +Query Optimization in the Presence of Foreign Functions. +Parallelizing query optimization. +Optimizing the Topology of Bluetooth Wireless Personal Area Networks. +A Scalable Algorithm for Maximizing Range Sum in Spatial Databases. +Coexistence and Transformation of Data. +Power transfer networks at RF frequencies: new design procedures with implementation roadmap. +A distributed amplifier based dispersive delay line. +High-speed front end for LED-Photodiode based fluorescence lifetime measurement system. +Simple sybil-proof mechanisms for multi-level marketing. +Rants: a framework for rank editing and sharing in web search. +Mobile search pattern evolution: the trend and the impact of voice queries. +Data summaries for on-demand queries over linked data. +Compressive sampling of EMG bio-signals. +Anthropocentric Data Systems. +Estimating clustering coefficients and size of social networks via random walk. +CALMS: Cloud-assisted live media streaming for globalized demands with time/region diversities. +Quadrature generation techniques for frequency multiplication based oscillators. +Topology design for fast convergence of network consensus algorithms. +Analysis of power supply gain of CMOS bandgap references. +A Scalable Method for Access Control in Location-Based Broadcast Services. +A novel Fisher discriminant for biometrics recognition: 2DPCA plus 2DFLD. +A framework for benchmarking entity-annotation systems. +A physically based approach to 2-D shape blending. +Effects of the recession on public mood in the UK. +GIO: a semantic web application using the information grid framework. +Maximum likelihood disjunctive decomposition to reduced multirooted DAG for FIR filter design. +SocioCrowd: a social-network-based framework for crowd simulation. +A MATLAB based optimum multiband FIR filters design program following the original idea of the Remez multiple exchange algorithm. +Touchy.Internet: a cybernetics system for human-pet interaction through the Internet. +Social status and role analysis of palin's email network. +A fast hash tree generator for Merkle signature scheme. +GPU Powered Artificial Immune System for Visual Applications. +Linear combination of transformations. +10GBase-LX4 Limiting Amplifier in 0.18 µm CMOS Digital Process with Tunable Shunt-peaking. +Randomization tests for distinguishing social influence and homophily effects. +Pricing algorithms for the day-ahead electricity market with flexible consumer participation. +"WS-CatalogNet: An Infrastructure for Creating, Peering, and Querying e-Catalog Communities." +Intelligent ad resizing. +A 4-Kb low power 4-T SRAM design with negative word-line gate drive. +A memory-efficient continuous-flow FFT processor for Wimax application. +Statistical Multiplexing Over DSL Networks. +A Novel Quantitative Approach For Measuring Network Security. +Comparative analysis of contrast enhancement algorithms in surveillance imaging. +Fast video coding based on Gaussian model of DCT coefficients. +Non-linear aperture for stylized depth of field. +"MegaMind: fire, smoke and data." +Simulation of object and human skin formations in a grasping task. +Wireless integrated circuit for the acquisition of electrocorticogram signals. +Privacy nudges for social media: an exploratory Facebook study. +Secure continuous aggregation via sampling-based verification in wireless sensor networks. +On rigorous integration of piece-wise linear continuous systems. +Visibly pushdown automata for streaming XML. +Video Multicast in (Large) Local Area Networks. +Process-insensitive modulated-clock voltage comparator. +An Efficient Prony's Method for Time-varying Power System Harmonic Estimation. +A novel CAVLC architecture for H.264 Video encoding at high bit-rate. +Tongue visualization for specified speech task. +Smoother subsurface scattering. +Core-Stateless Guaranteed Rate Scheduling Algorithms. +A Novel Ray-space based Color Correction Algorithm for Multi-view Video. +Visualizing tags over time. +Driver's drowsiness estimation by combining EEG signal analysis and ICA-based fuzzy neural networks. +Towards a programming language for services computing. +Practical routing-layer support for scalable multihoming. +MRsionCase: a glasses-free mixed reality showcase for surrounding multiple viewers. +An adaptive maximum power point tracker for maximising solar cell efficiency in wireless sensor nodes. +An All-digital Skew-adaptive Clock Scheduling Algorithm for Heterogeneous Multiprocessor Systems on Chips (MPSoCs). +"Interactive lighting of effects using point clouds in ""Bolt""." +Keep your friends close: Incorporating trust into social network-based Sybil defenses. +A Database Index to Large Biological Sequences. +Csurf: a context-driven non-visual web-browser. +Rejecting the attack: Source authentication for Wi-Fi management frames using CSI Information. +Computer-assisted animation of line and paint in Disney's Paperman. +QoS Routing with Performance-Dependent Costs. +A Concurrent Database Manipulation Problem: Binary Search Trees (Abstract). +A reconfigurable MAC architecture implemented with mixed-Vt standard cell library. +MEMS automotive collision avoidence radar beamformer. +Execution time comparison of lifting-based 2D wavelet transforms implementations on a VLIW DSP. +SPARQL basic graph pattern optimization using selectivity estimation. +A wide-tuning quasi-type-I PLL with voltage-mode frequency acquisition aid. +Animating art history: building a bridge between disciplines. +V-buffer: visible volume rendering. +The Magic of Duplicates and Aggregates. +Personalized pocket directories for mobile devices. +Record Subtype Facilities in Database Systems. +Illumination in diverse codimensions. +Joint optimal scheduling and routing for maximum network throughput. +A CMOS high IIP2 mixer for multi-standard receivers. +Partitioning and ordering large radiosity computations. +Generalized arbitrary resizing for video transcoding. +On the impact of global information on diffusion of innovations over social networks. +Analysis for Signal and Power Integrity Using the Multilayered Finite Difference Method. +Probability Distribution of Blackouts in Complex Power Networks. +Write-Optimized B-Trees. +Cross-Regulation-Suppression control scheme for CCM Single-Inductor-Dual-Output buck converter with ordered-power-distributive control. +A New 10 Gbps Traffic Management algorithm for High-speed Networks. +Bifurcations and chaos in electrostatic vibration energy harvesters. +A proposal of a new blind equalizer using output signals of decision device. +Distributed video coding using wavelet. +Using eye tracking to analyze stereoscopic filmmaking. +Porqpine: A Distributed and Collaborative Search Engine. +A time-domain gradient-detection architecture for VLSI analog motion sensors. +High dynamic range imaging using coded electronic shutter. +Automated semantic web services orchestration via concept covering. +Incremental Maintenance for Non-Distributive Aggregate Functions. +A simple modeling of the early voltage of MOSFETs in weak and moderate inversion. +Towards a Common Infrastructure to Support Heterogeneous Learning Experiences. +Plurality: a context-aware personalized tagging system. +Realistic and Efficient Multi-Channel Communications in Wireless Sensor Networks. +Localization in 3D surface sensor networks: Challenges and solutions. +SAT-based ATPG for Path Delay Faults in Sequential Circuits. +Spectrally optimal sampling for distribution ray tracing. +Cloudy: A Modular Cloud Storage System. +Design of OOK system for wireless capsule endoscopy. +Gesture-world technology: 3D hand pose estimation system for unspecified users using a compact high-speed camera. +Generalizations of Oja's Learning Rule to Non-Symmetric Matrices. +Content-aware caching and traffic management in content distribution networks. +FALCON: Feedback Adaptive Loop for Content-Based Retrieval. +Image based flow visualization. +Energy-efficient collaborative sensing with mobile phones. +What is the importance of selecting features for non-technical losses identification? +Predictions and Challenges for Database Systems in the Year 2000. +Coordination in network security games. +Enabling entity-based aggregators for web 2.0 data. +Energy function based neural networks UPFC for transient stability enhancement of network-preserving power systems. +Real-time simulation in the real world (panel session). +Social search and discovery using a unified approach. +Run-Time Programming of Analog Circuits Using Floating-Gate Transistors. +User Interaction Experience for Semantic Web Information. +A versatile I/O with robust impedance calibration for various memory interfaces. +The Integrated Microbial Genomes (IMG) System: A Case Study in Biological Data Management. +The Theory of Probabilistic Databases. +Design and optimization of two motion detection circuits for video monitoring system. +Realistic and interactive image-based rendering of ancient chinese artifacts using a multiple camera array. +Recovery and Coherency-Control Protocols for Fast Intersystem Page Transfer and Fine-Granularity Locking in a Shared Disks Transaction Environment. +The V*-Diagram: a query-dependent approach to moving KNN queries. +Digital RF Processing Techniques for Device Mismatch Tolerant Transmitters in Nanometer-Scale CMOS. +Cooperative Network Coding-Aware Routing for Multi-Rate Wireless Networks. +A logical framework for temporal deductive databases. +Manage and Query Generic Moving Objects in SECONDO. +How to reach 100% coding efficiency in multilevel burst-mode RF transmitters. +Fast Reconfiguration Experiments of an Optically Differential Reconfigurable Gate Array with Nine Configuration Contexts. +Towards a comprehensive and systematic classification of hardware Trojans. +An inductively tuned CMOS astable multivibrator. +"dRig: an artist-friendly, object-oriented approach to rig building." +The web beyond popularity: a really simple system for web scale RSS. +A CMOS linear voltage/current dual-mode imager. +Model and Architecture of a Timing Service for Adaptive Policy-Based Management Systems. +Frequency Response Masking based Reconfigurable Channel Filters for Software Radio Receivers. +Partitioning and synthesis for hybrid architecture simulators. +A parallel search algorithm for CLNS addition optimization. +Instrumenting a logic programming language to gather provenance from an information extraction application. +SMOOTH - A Distributed Multimedia Database System. +Loop mitigation in bloom filter based multicast: A destination-oriented approach. +Influence of external input on Oscillatory Cellular Nonlinear Networks dynamics. +Efficient design of sparse FIR filters in WLS sense. +A metro map metaphor for guided tours on the Web: the Webvise guided tour system. +GPX: Interactive Mining of Gene Expression Data. +"Video sharing propagation in social networks: Measurement, modeling, and analysis." +Variable fractional delay FIR filters with sparse coefficients. +Hexahedral Mesh Re-parameterization from Aligned Base Domains +The largest scholarly semantic network...ever. +iPvlc: pixel-level visible light communication for smart mobile devices. +New FPN correction method for PD-storage dual-capture CMOS image sensor using a nonfully depleted pinned photodiode. +Dissemination of Models over Time-Varying Data. +Application of two-layered tabu search to optimal allocation of UPFC for maximizing transmission capability. +Enhancing Traffic Sampling scope and efficiency. +Low phase noise on-chip oscillator for implantable biomedical applications. +CodePipe: An opportunistic feeding and routing protocol for reliable multicast with pipelined network coding. +On Hybrid Collision Control for IEEE 802.11 Ad-Hoc Networks. +Challenges and recent advances in IR-UWB system design. +Shape transformation for polyhedral objects. +A flexible generative model for preference aggregation. +Characterizing geospatial dynamics of application usage in a 3G cellular data network. +Noise Reduction System Based on LPEF and System Identification with Variable Step Size. +A full-custom design of AES SubByte module with signal independent power consumption. +A time-efficient information collection protocol for large-scale RFID systems. +2-MITE Product-of-Power-Law Networks. +Filtering with Approximate Predicates. +Estimating the prevalence of deception in online review communities. +Bounding the power rate function of wireless ad hoc networks. +Data Broadcasting and Seamless Channel Transition for Highly-Demanded Videos. +MMSE-based design of scaled and offset BP-based decoding algorithms on the fast Rayleigh fading channel. +News in the age of the web. +Polygon-assisted JPEG and MPEG compression of synthetic images. +Unsupervised query segmentation using click data: preliminary results. +Modeling and understanding TCP incast in data center networks. +A Low Jitter CMOS PLL Clock Synthesizer with 20-400 MHz Locking Range. +BSSRDF importance sampling. +Extraction of robust visual phrases using graph mining for image retrieval. +A Singlehop Collaborative Feedback Primitive for Wireless Sensor Networks. +Highly parallel image coding for many cores. +Protocol-level performance analysis for anti-collision protocols in RFID systems. +Distance Indexing on Road Networks. +Reconfigurable cell array for concurrent support of multiple radio standards by flexible mapping. +Creating Competitive Products. +Sensory design for virtual environments. +Optimal Bandwidth Reservation in Hose-Model VPNs with Multi-Path Routing. +Optimal Waveband Switching in Optical Ring Networks. +Exploiting channel memory for joint estimation and scheduling in downlink networks. +Multiuser detection based on Grover's algorithm. +Impact of File Arrivals and Departures on Buffer Sizing in Core Routers. +Towards a theory model for product search. +Fourier series analysis of the nonlinearities in analog closed-loop PWM class D amplifiers. +Data fusion - Resolving Data Conflicts for Integration. +Argus: End-to-end service anomaly detection and localization from an ISP's point of view. +Signal modulus design for blind source separation via algebraic known modulus algorithm: A perturbation perspective. +Small-World File-Sharing Communities. +Local Disk Caching for Client-Server Database Systems. +A novel polynomial selection scheme for low-complexity chase algebraic soft-decision reed-solomon decoding. +Autonomous resource provisioning for multi-service web applications. +An improved soft BCH decoder with one extra error compensation. +Routing in Overlay Multicast Networks. +A Ku-band down-converter with perfect differential PLL in 0.18um CMOS. +The Design of Symmetric Square-Root Pulse-Shaping Filters for Transmitters and Receivers. +Design of concurrent multi-band matching networks. +Just the right amount: extracting modules from ontologies. +Distributed Querying of Internet Distance Information. +Non-causal error control for wireless video streaming with noncoherent signaling. +Steel life. +A simulation of pearl optical phenomena for cosmetic preproduction. +"The gRNA: A Highly Programmable Infrastructure for Prototyping, Developing and Deploying Genomics-Centric Applications." +Foundations of Uncertain-Data Integration. +Progressive lossless compression of arbitrary simplicial complexes. +Potter's Wheel: An Interactive Data Cleaning System. +Revisiting the Absolutely Minimal Realization for Two-dimensional Digital Filters. +Automated synthesis of executable web service compositions from BPEL4WS processes. +Online Dynamic Reordering for Interactive Data Processing. +An Efficient Implementation of a Relational Data Base. +A Buyers Integration Support System based on Users' Multi Attribute Utilities for Internet Group Buying. +Making use of social media data in public health. +Designing efficient DSP datapaths through compiler-in-the-loop exploration methodology. +On Integrating Fluid Models with Packet Simulation. +Data versioning techniques for internet transaction management. +Tapered-VTH CMOS buffer design for improved energy efficiency in deep nanometer technology. +Distributed Power Control For Cellular Networks In the Presence of Rayleigh Fading Channel. +Autonomous lighting agents in global illumination. +Searching Large Lexicons for Partially Specified Terms using Compressed Inverted Files. +The ScratchPad: sensemaking support for the web. +Accurate web recommendations based on profile-specific url-predictor neural networks. +Tree Pattern Aggregation for Scalable XML Data Dissemination. +Towards a Deeper Understanding of Link Restoration Algorithms for Mesh Networks. +An eye detection technique for clock and data recovery applications. +Comparison of time and frequency domain interpolation implementations for MB-OFDM UWB transmitters. +Tuning parameters of the expected reciprocal rank. +"Prevention of Deadlocks and Livelocks in Lossless, Backpressured Packet Networks." +Stable but responsive cloth. +A service creation environment based on end to end composition of Web services. +A pMOS-based double-ladder integrated charge pump for standard process. +An alias-locked loop frequency synthesis architecture. +"Industrial Panel on Data Warehousing Technologies: Experiences, Challenges, and Directions." +The SAGE graphics architecture. +Temporal Management of RFID Data. +A new four-modulus RNS to binary converter. +VoteTrust: Leveraging friend invitation graph to defend against social network Sybils. +Fast computation of shadow boundaries using spatial coherence and backprojections. +A Converter with Fixed Switching Frequency Adaptive Multi-Mode Control Scheme. +Quality of Field Reconstruction in Sensor Networks. +"Interactions, Competition and Innovation in a Service-Oriented Internet: An Economic Model." +An asynchronous spike event coding scheme for programmable analog arrays. +CerFix: A System for Cleaning Data with Certain Fixes. +Optimization for packet routing using chaotic dynamics. +Reducing spurious PLL tones in spectrum sensing architectures. +A piezoelectric actuator driver circuit for automatic focusing of mobile phone cameras. +"Set Containment Joins: The Good, The Bad and The Ugly." +PixelFlow: high-speed rendering using image composition. +Thermal aware clock synthesis considering stochastic variation and correlations. +Rewriting XPath Queries Using Materialized Views. +Effective multi-standard macroblock prediction VLSI design for reconfigurable multimedia systems. +Low-voltage CMOS syllabic-companding log domain filter. +The Unified Logging Infrastructure for Data Analytics at Twitter. +The Case for Non-Cooperative Multihoming of Users to Access Points in IEEE 802.11 WLANs. +P2E-DWT: A parallel and pipelined efficient VLSI architecture of 2-D Discrete Wavelet Transform. +ZF-DFE transceiver for time-varying MIMO channels with channel-independent temporal precoder. +Record Linkage with Uniqueness Constraints and Erroneous Values. +Socially-Aware Network Design Games. +UWB LNAs for Ground Penetrating Radar. +Analysis of a Packet Switch with Memories Running at Slower than the Line Rate. +Design and modeling of on-chip monolithic transformers with patterned ground shield. +A dynamic latched comparator for low supply voltages down to 0.45 V in 65-nm CMOS. +Texture synthesis over arbitrary manifold surfaces. +Generic sensing hardware and real-time reconstruction for structured analog signals. +"Fast Similarity Search in the Presence of Noise, Scaling, and Translation in Time-Series Databases." +The Design of GridDB: A Data-Centric Overlay for the Scientific Grid. +An Experiment in Dedicated Data Management. +Multi-strategy object tracking in complex situation for video surveillance. +Acquisition of large-scale surface light fields. +Towards a highly-scalable and effective metasearch engine. +A Wide Dynamic Range High Linearity In-pixel Data Acquisition Front-end for Computed Tomography. +A Performance Study of Workfile Disk Management for Concurrent Mergesorts in a Multiprocessor Database System. +Warmth through the night. +Fighting the bufferbloat: On the coexistence of AQM and low priority congestion control. +Extracting Large-Scale Knowledge Bases from the Web. +TELESAR V: TELExistence surrogate anthropomorphic robot. +OntoWiki mobile: knowledge management in your pocket. +Fine-grained privilege separation for web applications. +Optimality and Scalability in Lattice Histogram Construction. +Detection of on-chip temperature gradient using a 1.5V low power CMOS temperature sensor. +Inspector Joins. +The utility of tweeted URLs for web search. +Many-to-Many Communication: A New Approach for Collaboration in MANETs. +Feature-based cellular texturing for architectural models. +Massive Stochastic Testing of SQL. +"Artificial fishes: physics, locomotion, perception, behavior." +Smoothing polyhedra using implicit algebraic splines. +An Evaluation on Using Preference Clustering in Large-Scale Multicast Applications. +"Optimization method for the joint allocation of modulation schemes, coding rates, resource blocks and power in self-organizing LTE networks." +CASIS: a system for concept-aware social image search. +Semantic similarity between search engine queries using temporal correlation. +An FPGA-based point target detection system using morphological clutter elimination. +Why is 3-D interaction so hard and what can we really do about it? +A high performance pseudo-multi-core ECC processor over GF(2163). +Locating sensors in the forest: A case study in GreenOrbs. +Flash finally hits the big screen. +SHARC: Framework for Quality-Conscious Web Archiving. +"Pricing, Competition, and Routing for Selfish and Strategic Nodes in Multi-Hop Relay Networks." +An Adaptive Hash Join Algorithm for Multiuser Environments. +Upward Max Min Fairness. +Channel assignment in dense MC-MR wireless networks: Scaling laws and algorithms. +Creating a billion-scale searchable web archive. +Hyperqueries: Dynamic Distributed Query Processing on the Internet. +WIC: A General-Purpose Algorithm for Monitoring Web Information Sources. +Stereoscopic learning for disparity estimation. +Lexicographically Optimal Balanced Networks. +A multiband concurrent sampling based RF front end for biotelemetry applications. +Multi layer based rate control algorithm for HEVC. +Face gender recognition with halftoning-based adaboost classifiers. +Real time design and animation of fractal plants and trees. +idMesh: graph-based disambiguation of linked data. +Investigation of partial query proximity in web search. +Empirical Comparison of Database Concurrency Schemes. +Memory efficient layered decoder design with early termination for LDPC codes. +Video decoder embedded with temporal LMMSE denoising filter. +Experimental study of leakage-delay trade-off in Germanium pMOSFETs for logic circuits. +A demo search engine for products. +Throughput Analysis of Multiple Access Relay Channel under Collision Model. +PSRR of bridge-tied load PWM Class D Amps. +The synthesis of cloth objects. +Security using Shannon-Fano-Elias Codes. +Staying FIT: Efficient Load Shedding Techniques for Distributed Stream Processing. +A low-power CMOS Gm-C filter for wireless receiver applications with on-chip automatic tuning system. +Flexible integer DCT architectures for HEVC. +Uncovering locally characterizing regions within geotagged data. +Datagram Routing Algorithm for LEO Satellite Networks. +XML Full-Text Search: Challenges and Opportunities. +Local Interpolation Filter Estimation for Fractional-pel Displacement Vector in Video Coding. +RSS-Ratio for enhancing performance of RSS-based applications. +Similarity Join Size Estimation using Locality Sensitive Hashing. +Automatic web image selection with a probabilistic latent topic model. +SPRING: A Strategy-proof and Privacy preserving spectrum auction mechanism. +Botnet detection revisited: Theory and practice of finding malicious P2P networks via Internet connection graphs. +Scheduling in Mobile Ad Hoc Networks with Topology and Channel-State Uncertainty. +Low-Complexity Distributed Scheduling Algorithms for Wireless Networks. +OLTP-Bench: An Extensible Testbed for Benchmarking Relational Databases. +Releasing search queries and clicks privately. +Unconstrained regularized ℓp-norm based algorithm for the reconstruction of sparse signals. +The Kraft's Inequality of Scheduling for Packet-Switched Clos Networks. +Architecture of the SOFIS Data Base Management System. +Scaling Queries over Big RDF Graphs with Semantic Hash Partitioning. +Proportional Bandwidth Allocation in DiffServ Networks. +Generating diverse and representative image search results for landmarks. +Optimizing Energy-Latency Trade-Off in Sensor Networks with Controlled Mobility. +EIOP: an e-commerce interoperability platform. +Optimizing Multi-Feature Queries for Image Databases. +Scalable querying services over fuzzy ontologies. +Establishing Persistent Identity using the Handle System. +Superpipelined reconfigurable hardware for DSP. +HISA: A Query System Bridging The Semantic Gap For Large Image Databases. +Modeling orientation selectivity using a neuromorphic multi-chip system. +Providing Throughput Differentiation for TCP Flows Using Adaptive TwoColor Marking and Multi-Level AQM. +Soft error tolerant latch design with low cost for nanoelectronic systems. +Feature-based image metamorphosis. +A dual-mode neural stimulator capable of delivering constant current in current-mode and high stimulus charge in semi-voltage-mode. +Battery-Aware Variable Voltage Scheduling on Real-Time Multiprocessor Platforms. +Simple circuit-based solution to problem of residential load participation in demand response. +Distributed large-scale natural graph factorization. +Designing collaborative games on handheld computers. +Shading bicubic patches. +Issues in the Design and Simulation of a MEMS VCO based Phase-Locked Loop. +RaSMaLai: A Randomized Switching algorithm for Maximizing Lifetime in tree-based wireless sensor networks. +SNR measurement based on linearity test for ADC BIST. +A Tree-structured Non-uniform Filter Bank for Multi-standard Wireless Receivers. +A Non-uniform Resolution Step GHz 7-bit Flash A/D Converter for Wideband OFDM Signal Conversion. +An efficient mechanism of TCP-Vegas on mobile IP networks. +SearchMobil: Web Viewing and Search for Mobile Devices. +Reduced Complexity Space-Time-Frequency Model for Multi-Channel EEG and Its Applications. +Semantic api matching for automatic service composition. +Check-Repeat: A new method of measuring DNSSEC validating resolvers. +How do Superpeer Networks Emerge? +On anonymizing query logs via token-based hashing. +A channel estimation scheme for Chinese DTTB system combating long echo and high doppler shift. +Perceptual-based coding mode decision. +"Rapid, stable fluid dynamics for computer graphics." +Impact of ad impressions on dynamic commercial actions: value attribution in marketing campaigns. +Massively Parallel Data Analysis with PACTs on Nephele. +Exploration in web science: instruments for web observatories. +Definition and Evaluation of Access Rules in Data Management Systems. +Scalable k-nearest neighbor graph construction based on greedy filtering. +A Generic solution for Warehousing Business Process Data. +"Rule-based crowds: generation, animation, cloth and rendering of 15.000 unique human characters." +Distributed Construction of Connected Dominating Set in Wireless Ad Hoc Networks. +Efficient evaluation of generalized path pattern queries on XML data. +Transistor-level programmable MOS analog IC with body biasing. +Single Miller compensation using inverting current buffer for multi-stage amplifiers. +Solid texturing of complex surfaces. +Querying XML Views of Relational Data. +Sorted QR decomposition for high-speed MMSE MIMO detection based wireless communication systems. +A 2.4 GHz reference-less wireless receiver for 1Mbps QPSK demodulation. +Automatic Profile Generation in eRACE. +Interactive texture mapping. +Efficient mining of frequent sequence generators. +Using Trees to Depict a Forest. +An Analysis of Structured Data on the Web. +FuwaFuwa: detecting shape deformation of soft objects using directional photoreflectivity measurement. +Wrinkle generation model for 3D facial expression. +Optimal signal discrimination in a Low signal-to-noise ratio environment. +Normalization and Optimization of Schema Mappings. +MemoIcon: using everyday objects as physical icons. +ILM's multitrack: a new visual tracking framework for high-end VFX production. +A multi-channel neural stimulator with resonance compensated inductive receiver and closed-loop smart power management. +Challenges and techniques for effective and efficient similarity search in large video databases. +Image convolution using a probabilistic mapper on USB-AER board. +Comparative evaluation of javascript frameworks. +Locating Data Sources in Large Distributed Systems. +Frame-level data reuse for motion-compensated temporal filtering. +Roesser model realization of MIMO n-D systems by elementary operations. +Time-sliding suboptimal regulation of bilinear interconnected systems. +FiConn: Using Backup Port for Server Interconnection in Data Centers. +New Light-Weight Crypto Algorithms for RFID. +RankMass Crawler: A Crawler with High PageRank Coverage Guarantee. +Separation of weak reflection from a single superimposed image using gradient profile sharpness. +WorldSeed. +An education method for VR content creation using groupwork. +SAR ADC using single-capacitor pulse width to analog converter based DAC. +Cognitive Mobile Virtual Network Operator: Investment and Pricing with Supply Uncertainty. +Mining Frequent Closed Cubes in 3D Datasets. +Predicting clicks: estimating the click-through rate for new ads. +High-speed tournament givens rotation-based QR Decomposition Architecture for MIMO Receiver. +Live Demonstration: Computing Spike-based Convolutions on GPUs. +An e-market framework for informed trading. +The V2 Temporal Document Database System. +Supporting Lists in a Data Model (A Timely Approach). +QR-code calibration for mobile augmented reality applications: linking a unique physical location to the digital world. +Fast bilateral filtering for the display of high-dynamic-range images. +The journey of the Cystic Fibrosis gene. +Voltage stability and voltage recovery: effects of electric load dynamics. +Retargeting Motion to New Characters. +Rule Ordering in Bottom-Up Fixpoint Evaluation of Logic Programs. +Non-iterative high speed division computation based on Mitchell logarithmic method. +Integrating Packet FEC into Adaptive Voice Playout Buffer Algorithms on the Internet. +How opinions are received by online communities: a case study on amazon.com helpfulness votes. +Taking the Skeletons Out of the Closets: A Simple and Efficient Topology Discovery Scheme for Large Ethernet LANs. +A Multi-hysteresis VCCS and its Application to Multi-scroll Chaotic Oscillators. +Textual and contextual patterns for sentiment analysis over microblogs. +Synchronization of the Kuramoto model with multi-scale-free property. +YouTube around the world: geographic popularity of videos. +Clustering user queries of a search engine. +"A dual-mode, low-power and low-noise 0.18µm CMOS front-end for optical biosensors." +Level Converting Scan Flip-flops. +Volumetric shadow mapping. +Delay Analysis for Multi-Hop Wireless Networks. +Truthful incentive mechanisms for k-anonymity location privacy. +The GMAP: A Versatile Tool for Physical Data Independence. +Routing Dependable Connections with Specified Failure Restoration Guarantees in WDM Networks. +Virtual MISO triggers in Wi-Fi-like networks. +Optimization of clock-gating structures for low-leakage high-performance applications. +Video suggestion and discovery for youtube: taking random walks through the view graph. +All our messages are belong to us: usable confidentiality in social networks. +Impromptu Measurement Infrastructures using RTP. +Analysis of an SOC Architecture for MPEG Reconfigurable Video Coding Framework. +An Adaptive CMOS-based PG-ISFET for pH Sensing. +B+-tree Index Optimization by Exploiting Internal Parallelism of Flash-based Solid State Drives. +An effective hop-by-hop Interest shaping mechanism for CCN communications. +Automatic construction of a context-aware sentiment lexicon: an optimization approach. +Mining anchor text for query refinement. +The Generalized MDL Approach for Summarization. +Improving Performance in Replicated Databases through Relaxed Coherency. +Pseudo-differential zero-crossing-based circuit with differential error suppression. +"Low frequency, current mode programmable KHN filters using large-valued active resistors." +A framework for determining necessary query set sizes to evaluate web search effectiveness. +A genealogy of information spreading on microblogs: A Galton-Watson-based explicative model. +Bi-Criteria Approximation Algorithms for Power-Efficient and Low-Interference Topology Control in Unreliable Ad Hoc Networks. +Minerva: Learning to Infer Network Path Properties. +Fixed and market pricing for cloud services. +Articulated body deformation from range scan data. +Staging transformations for multimodal web interaction management. +Fill-in-the-Form Programming. +Toward simple criteria to establish capacity scaling laws for wireless networks. +The BEST challenge for next-generation Ethernet services. +Ego networks in Twitter: An experimental analysis. +Face clustering in videos using constraint propagation. +An Efficient Algorithm for Mining Association Rules in Large Databases. +Minimizing End-to-End Delay: A Novel Routing Metric for Multi-Radio Wireless Mesh Networks. +A Cartesian Feedback Feedforward Transmitter. +A DBMS for the U.S. Bureo of the Census. +MV3R-Tree: A Spatio-Temporal Access Method for Timestamp and Interval Queries. +Normal flow measurement visual motion sensor. +Implementing RenderMan on the Sony PS2. +Amperometric Readout and Electrode Array Chip for Bioelectrochemical Sensors. +Display pixel caching. +Nonlinear Material Design Using Principal Stretches +Compact Lowpass Ladder Filters using Tapped Coils. +SUBJECT: A Directory Driven System for Organizing and Accessing Large Statistical Databases +Hardware Organization for Nonnumeric Processing +Surviving a search engine overload. +Cascading tree sheets and recombinant HTML: better encapsulation and retargeting of web content. +A New Frame Recompression Algorithm Integrated with H.264 Video Compression. +Access to Objects by Path Expressions and Rules. +A two-view approach to constructing user interfaces. +Maximizing capacity with power control under physical interference model in duplex mode. +C-SPARQL: SPARQL for continuous querying. +Design of high-speed clock recovery circuit for burst-mode applications. +Performance analysis of Coupling Scheduler for MapReduce/Hadoop. +Maximizing throughput in wireless networks with finite internal buffers. +Integer linear programming method for spatial temporal mapping of the Viterbi decoder. +Finding relevant patterns in bursty sequences. +Statistical Distortion: Consequences of Data Cleaning. +Tuning Elliptic Filters with a 'Tuning Biquad'. +Streaming Implementation of the ZLIB Decoder Algorithm on an FPGA. +A QoS aware multicore hash scheduler for network applications. +LNA-antenna codesign for UWB systems. +Biometric-based two-level secure access control for Implantable Medical Devices during emergencies. +Unrolling Cycles to Decide Trigger Termination. +Coding and replication co-design for interactive multiview video streaming. +On the Absence of Isolated Nodes in Wireless Ad-Hoc Networks with Unreliable Links - a Curious Gap. +Network Performance Anomaly Detection and Localization. +Rendering fur with three dimensional textures. +A 0.4V ultra low-power UWB CMOS LNA employing noise cancellation. +IP Backbone Design for Multimedia Distribution: Architecture and Performance. +Low-voltage SOI CMOS DTMOS/MTCMOS circuit technique for design optimization of low-power SOC applications. +On Heterogeneous Overlay Construction and Random Node Selection in Unstructured P2P Networks. +On the Design of Digital Control for Lab-on-chip Systems. +Multi-Vth Level Conversion Circuits for Multi-VDD Systems. +SigMatch: Fast and Scalable Multi-Pattern Matching. +On Channel-Discontinuity-Constraint Routing in Wireless Networks. +Using QBF to increase accuracy of SAT-based debugging. +Software and Data Base Engineering - Towards a Common Design Methodology. +OneClick: A Framework for Measuring Network Quality of Experience. +A Wireless IC for Wide-Range Neurochemical Monitoring Using Amperometry and Fast-Scan Cyclic Voltammetry. +LDPC Decoder Design for IEEE 802.15 Standard. +Cellular Automata with Large Channel Separations. +Design of reliable 2×VDD and 3×VDD series-parallel charge pumps in nanoscale CMOS. +Scalable and Accurate Identification of AS-level Forwarding Paths. +Millimeter Wave WPAN: Cross-Layer Modeling and Multi-Hop Architecture. +Omnidirectional stereo surround for panoramic virtual environments. +Robust movement detection based on a new similarity index for HDR imaging. +Lightweight Privacy-Preserving Peer-to-Peer Data Integration. +Two-level configuration for FPGA: A new design methodology based on a computing fabric. +Packet Leashes: A Defense against Wormhole Attacks in Wireless Networks. +Attitude sensing system design for wireless Micro-Ball endoscopy. +An 11 Bit Sub-Ranging SAR ADC with Input Signal Range of Twice Supply Voltage. +Signing individual fragments of an RDF graph. +Understanding spatial relationships in resource usage in cellular data networks. +Analysis of digital gain error compensation in continuous-time cascaded sigma-delta modulators. +Online modeling of proactive moderation system for auction fraud detection. +Fractional-Rate FM-to-Digital Delta-Sigma-Converters. +Query Mesh: Multi-Route Query Processing Technology. +A novel structure for the design of 2-1-1 cascaded continuous time delta sigma modulators. +Implementing Queries and Updates on Universal Scheme Interfaces. +"1, 000 Tables Inside the From." +Rapid design and prototyping of universal soft demapper. +Electricity cost efficient workload mapping. +Data Models Transformation Method Based on Axiomatic Data Model Extension. +A-ToPSS: A Publish/Subscribe System Supporting Imperfect Information Processing. +A 10-bit 2GHz Current-Steering CMOS D/A Converter. +"Exploiting the Diversity, Mass and Speed of Territorial Data by TELCO Operator for Better User Services." +Faster accurate reflections throught quadric mirrors. +Evaluating Multiple Server DBMS in General Purpors Operating System Environments. +An efficient homotopy method that can be easily implemented on SPICE. +"Flux: lightweight, standards-based Web graphics in XML." +Table of Contents (pdf). +Visual Property Editing Tools for Web based Virtual Reality. +Adder Circuits with Transistors using Independently Controlled Gates. +Risk-Aware Routing for Optical Transport Networks. +Resilient Rights Protection for Sensor Streams. +Applying Database Technology in the ADSM Mass Storage System. +Low-power 6-bit 1-GS/s two-channel pipeline ADC with open-loop amplification using amplifiers with local-feedback. +Oblivious AQM and Nash Equilibria. +SIREN: A Similarity Retrieval Engine for Complex Data. +Design and Performance Tools for Data Base Systems. +Motion tracking of time-varying mesh through surface gradient matching with multi-temporal registration. +An efficient MFCC extraction method in speech recognition. +New improved CMOS class AB buffers based on differential flipped voltage followers. +"Stimulation and recording of neural tissue, closing the loop on the artifact." +Tailored news in the palm of your hand: a multi-perspective transparent approach to news recommendation. +Mutual Dependencies and Some Results on Undecomposable Relations. +Cardinality change-based early detection of large-scale cyber-attacks. +A virtual environment and model of the eye for surgical simulation. +Low-voltage Bluetooth/ZigBee complex filter using current mirrors. +"Adaptive query processing: Why, How, When, and What Next?" +Measurement-Based Editing of Diffuse Albedo with Consistent Interreflections +A spectrum-sharing rewarding framework for co-channel hybrid access femtocell networks. +A framework for the server-side management of conversations with web services. +Modeling semantics and structure of discussion threads. +Integrating Reliable Memory in Databases. +Worst-Case TCAM Rule Expansion. +Semi-blind data-selective algorithms for channel equalization. +Distributed Privacy Preserving Information Sharing. +Approximate Query Processing: Taming the TeraBytes. +HTML2RSS: automatic generation of RSS feed based on structure analysis of HTML document. +StatSnowball: a statistical approach to extracting entity relationships. +Transaction Log Based Application Error Recovery and Point In-Time Query. +"TPC-D: The Challenges, Issues and Results." +A Low-power wireless multi-channel surface EMG sensor with simplified ADPCM data compression. +Global parameterization and quadrilateral meshing of point cloud. +A low power battery management system for rechargeable wireless implantable electronics. +Toward Learning Based Web Query Processing. +Transmission schemes for time-varying wireless channels with partial state observations. +Simulating Rigid Body Fracture with Surface Meshes +GOTHIC: glare optimizer tool for high-dynamic-range images and content with implementation in video. +Logical Design of Deductive Natural Language Consultable Data Bases. +Wireless esophageal catheter dedicated to respiratory diseases diagnostic. +Automatic Data Fusion with HumMer. +Irradiance rigs. +Jigsaw image mosaics. +Leveraging interlingual classification to improve web search. +Social Network Extraction of Conference Participants. +A multistandard FFT processor for wireless system-on-chip implementations. +Content-aware click modeling. +Fair Routing in Delay Tolerant Networks. +Optimal bounds for online page migration with generalized migration costs. +Zoom: A multi-resolution tasking framework for crowdsourced geo-spatial sensing. +Efficient Resource Allocation with Flexible Channel Cooperation in OFDMA Cognitive Radio Networks. +Media Browser: An Example of Metadata-Based Browsing. +Post-layout redundant wire insertion for fixing min-delay violations. +Optimizing RDF(S) queries on cloud platforms. +Indexing XML Data Stored in a Relational Database. +Validation of a statistical non-linear model of GaAs HEMT MMIC's by hypothesis testing and principal components analysis. +A 145µW 8×8 parallel multiplier based on optimized bypassing architecture. +Novel Coils Topology Intended for Biomedical Implants with Multiple Carrier Inductive Link. +Communication-Efficient Online Detection of Network-Wide Anomalies. +Update Semantics for Incomplete Databases. +The cubic interpolated level set method for realistic fluid animation. +Query segmentation revisited. +Improvement of the Mu-law Proportionate NLMS Algorithm. +An efficient random access inverted index for information retrieval. +Losses analysis and low standby losses quasi-resonant flyback converter design. +Robot rockstars: a mixed-reality game. +Query Processing in a Relational Database Management System. +Efficient Indexing for Diverse Query Results. +Selectivity Estimation in Extensible Databases - A Neural Network Approach. +A Combined Framework for Grouping and Order Optimization. +Bifurcations in frequency controlled load resonant DC-DC converters. +A novel hybrid neuro-wavelet system for robust speech recognition. +Augmented reality disk jockey: AR/DJ. +Psychological maps 2.0: a web engagement enterprise starting in London. +Design for verification for asynchronously communicating Web services. +Managing Change in a Computer-Aided Design Database. +The structure of broad topics on the web. +Gradient Local Binary Patterns for human detection. +Distortion calculation of an asynchronous switching xDSL line-driver. +P2P Authority Analysis for Social Communities. +Efficient decision feedforward equalizer with parallelizable architecture. +Fast and scalable secret key generation exploiting channel phase randomness in wireless networks. +Manipulating Interpolated Data is Easier than You Thought. +LUT-based MPGAs for fast turnaround time conversion flow. +Improved ultrasound digital beamforming using single-bit sigma-delta modulators with band-pass decimation filters. +Uncertainty Principle of the Second-order LPFT. +A ladder network delay model for coupled interconnects. +Test application time minimization for RAS using basis optimization of column decoder. +Exact cooperative regenerating codes with minimum-repair-bandwidth for distributed storage. +Web customization using behavior-based remote executing agents. +Artificial immune system based methods for spam filtering. +An automatic semantic relationships discovery approach. +Clock and data recovery module in 90nm for 10Gbps serial link with -18dB channel attenuation. +A New Analysis Framework for Discrete Time Queueing Systems with General Stochastic Sources. +Architectures and Algorithms for Internet-Scale (P2P) Data Management. +A New Presumed Commit Optimization for Two Phase Commit. +Hash Joins and Hash Teams in Microsoft SQL Server. +Community cores in evolving networks. +An Evaluation of Weak State Mechanism Design for Indirection in Dynamic Networks. +Approximation Algorithms for Scheduling Real-Time Multicast Flows in Wireless LANs. +Joint Effects of Radio Channels and Node Mobility on Link Dynamics in Wireless Networks. +Dynamic partial reconfigurable FFT/IFFT pruning for OFDM based Cognitive radio. +A 10-Bit 200-MS/s digitally-calibrated pipelined ADC using switching opamps. +A preamplifier for the front-end readout system of particles tracking in secondary electron detectors. +Query Segmentation for Web Search. +Shielding Methodologies in the Presence of Power/Ground Noise. +A novel feature extraction algorithm for classification of bird flight calls. +A 2-path bandpass sigma-delta modulator utilizing blue-noise path selection. +A low power low inaccuracy linearity-compensated temperature sensor for attachable medical devices. +Factors formatting perceptional impression in 3-D cyber spaces: a cross-cultural study of Korean and American users. +"A nose-tracked, personal olfactory display." +Short periodic orbits and topological entropy for the Chua's circuit. +Session-Based Overload Control in QoS-Aware Web Servers. +A VLSI spike-driven dynamic synapse which learns only when necessary. +An Efficient Filter-based Addressing Protocol for Autoconfiguration of Mobile Ad Hoc Networks. +ProTDB: Probabilistic Data in XML. +Two-stage series-based neural network approach to nonlinear independent component analysis. +A Conceptual Model for Semantic Integrity Checking. +Needed: Distributed Control. +Visualization of historical landscapes in Osaka. +A Silicon-on-Sapphire Low-Voltage Temperature Sensor for Energy Scavengers. +WYSIWYG NPR: drawing strokes directly on 3D models. +An Efficient Hardware Accelerator for Power Grid Simulation. +A Parallel Strategy for Transitive Closure usind Double Hash-Based Clustering. +Mixed-domain receiver architecture for white space software-defined radio scenarios. +Noncooperative Load Balancing in the Continuum Limit of a Dense Network. +Efficient Evaluation of XQuery over Streaming Data. +Low-complexity Interpolation Architecture for Soft-decision Reed-Solomon Decoding. +Secure pseudo-random bit sequence generation using coupled linear congruential generators. +A self-calibrating multi-VCO PLL scheme with leakage and capacitive modulation mitigations. +Technical challenges in exploiting the web as a business resource. +HOMES: A Higher-Order Mapping Evaluation System. +Fine grained content-based adaptation mechanism for providing high end-user quality of experience with adaptive hypermedia systems. +Ranking refinement and its application to information retrieval. +Compress Compound Images in H.264/MPEG-4 AVC by Fully Exploiting Spatial Correlation. +Towards Robust Indexing for Ranked Queries. +MCDB-R: Risk Analysis in the Database. +Clock distribution models of 3-D integrated systems. +Isolating Physical PER for Smart Rate Selection in 802.11. +Neuromorphic implementation of active gaze and vergence control. +Managing Semantic Heterogeneity with Production Rules and Persistent Queues. +A Logic-based Framework for Mobile Intelligent Information Agents. +"All-digital frequency and clock synthesis architectures from a signals and systems perspective, current state and future directions." +A circuit that creates a logarithmic response from an integrating CMOS pixel. +1.25/2.5-Gb/s burst-mode clock recovery circuit with a novel dual bit-rate structure in 0.18µm CMOS. +Object shape and reflectance modeling from observation. +Real-time photo-realistic augmented reality for interior design. +Dual sphere-unfolding method for single pass omni-directional shadow mapping. +Early Profile Pruning on XML-aware Publish/Subscribe Systems. +WATCH: summary data in spatial context. +Maximizing submodular set function with connectivity constraint: Theory and application to networks. +"Workshop on online reputation: context, privacy, and reputation management." +Improving H.264/AVC video coding with adaptive coefficient suppression. +Lateral Error Recovery for Application-Level Multicast. +Optimal Allocation of Electronic Content. +Decoders for low-density parity-check convolutional codes with large memory. +CoRaS: A multiprocessor key corruption and random round swapping for power analysis side channel attacks: A DES case study. +A comparison of visual and textual page previews in judging the helpfulness of web pages. +A continuum approach to dense wireless networks with cooperation. +Distributed optimal contention window control for elastic traffic in wireless LANs. +Client-Based Access Control Management for XML documents. +Deterministic DEM DAC Performance Analysis. +Automatic skill acquisition in Reinforcement Learning using connection graph stability centrality. +BodyT2: Throughput and time delay performance assurance for heterogeneous BSNs. +Adaptive Low/High Voltage Swing CMOS Driver for On-Chip Interconnects. +Earth's avatar: the Web augmented virtual earth. +Demand forecast and performance prediction in peer-assisted on-demand streaming systems. +Query Processing in Tertiary Memory Databases. +Mesh-star Hybrid NoC Architecture with CDMA Switch. +An integrated wireless electronic nose system integrating sensing and recognition functions. +Verifiable private multi-party computation: Ranging and ranking. +Peer-to-Peer Sharing of Web Applications. +Efficient search in large textual collections with redundancy. +Modeling Telephone Call Networks with Group Structure from a Complex Network Perspective. +A Low Energy FFT/IFFT Processor for Hearing Aids. +Fluid simulation interaction techniques. +PW-MAC: An energy-efficient predictive-wakeup MAC protocol for wireless sensor networks. +Creation and rendering of realistic trees. +Augmented participatory design. +DDoS-Resilient Scheduling to Counter Application Layer Attacks Under Imperfect Detection. +A New Adaptive Subpixel-based Downsampling Scheme using Edge Detection. +Balun LNA with continuously controllable gain and with noise and distortion cancellation. +Linear sparse array synthesis via convex optimization. +EMPOWER: A Network Emulator for Wireless and Wireline Networks. +An Efficient Cost-Driven Index Selection Tool for Microsoft SQL Server. +Cache Coherency in Oracle Parallel Server. +QoE-aware resource allocation for integrated surveillance system over 4G mobile networks. +On the Optimality and Interconnection of Valiant Load-Balancing Networks. +On the Complexity Reduction of Polyphase Linear Phase FIR Filters with Symmetric Coefficient Implementation. +A new taxonomy for reconfigurable prefix adders. +Demand-Oblivious Routing: Distributed vs. Centralized Approaches. +SocialFilter: Introducing social trust to collaborative spam mitigation. +Multiversion Query Locking. +Knowledge-Based Query Processing. +"Restful web services vs. ""big""' web services: making the right architectural decision." +The Double Life of the Transaction Abstraction: Fundamental Principle and Evolving System Concept. +Random-access scheduling with service differentiation in wireless networks. +A robust boundary detection algorithm based on connectivity only for 3D wireless sensor networks. +Heuristic Algorithms for Joint Configuration of the Optical and Electrical Layer in Multi-Hop Wavelength Routing Networks. +A stochastic learning-to-rank algorithm and its application to contextual advertising. +Handling Data Skew in Multiprocessor Database Computers Using Partition Tuning. +Using explicit discourse rules to guide video enrichment. +The stringed haptic workbench. +Resiliency-Aware Data Management. +"Modeling inelastic deformation: viscolelasticity, plasticity, fracture." +Gossiping with Multiple Messages. +AuditGuard: a system for database auditing under retention restrictions. +Simplicial interpolation for animating the Hulk. +"Decentralized, accurate, and low-cost network bandwidth prediction." +Optimal Configuration of OSPF Aggregates. +A fast polymesh to level set algorithm. +Search result diversity for informational queries. +Hopf-Type Intermediate-Scale Bifurcation in Single-Stage Power-Factor-Correction Power Supplies. +A Sub-1V Low-Power High-Speed Static Frequency Divider. +Unified Energy-Efficient Routing for Multi-Hop Wireless Networks. +Impact of Control Signal Non-Idealties on Two-Phase Charge Pumps. +Fast Packet Classification for Two-Dimensional Conflict-Free Filters. +File Fragmentation over an Unreliable Channel. +Ultra-low power current-based PUF. +Model-directed web transactions under constrained modalities. +An inverse method of characteristics for analyzing circuits with widely separated time-scales. +"A smooth, fast, accurate representation of reflectance." +IPAC - An Interactive Approach to Access Control for Semi-structured Data. +Oracle SecureFiles: Prepared for the Digital Deluge. +Trichromatic approximation for computer graphics illumination models. +Double-via insertion enhanced X-architecture clock routing for reliability. +Robust Geo-Routing on Embeddings of Dynamic Wireless Networks. +An Energy-Scalable Margin Propagation-Based Analog VLSI Support Vector Machine. +Reactive architecture. +A 14-b 32MS/s Pipelined ADC with Novel Fast-convergence Comprehensive Background Calibration. +AMBA AHB bus potocol checker with efficient debugging mechanism. +A Model of Visual Adaptation for Realistic Image Synthesis. +On interference alignment for multi-hop MIMO networks. +An auto-reconfigurable dual-output SC DC-DC regulator with sub-harmonic fixed on-time control for energy-harvesting applications. +A Wide Bandwidth Sige Broadband Amplifier for 100 Gb/s Ethernet Applications. +Interactive control of avatars animated with human motion data. +Analysis of Blocking Probability in Noise and Crosstalk Impaired All-Optical Networks. +Mixed-signal implementation of a nonlinear decoder for delta-sigma encoded stream. +Addressing the privacy management crisis in online social networks. +A fault-aware dynamic routing algorithm for on-chip networks. +Numerical simulation of fluid flow on complex geometries using the Lattice-Boltzmann method and CUDA-enabled GPUs. +Passive client-based bandwidth and latency measurements in cellular networks. +Data Management on the Spatial Web. +Cooperating with Smartness: Using Heterogeneous Smart Antennas in Ad-Hoc Networks. +Avatara: OLAP for Web-scale Analytics Products. +Maintaining source privacy under eavesdropping and node compromise attacks. +An enhanced model for searching in semantic portals. +Streaming codes for channels with burst and isolated erasures. +An Architecture For Integrating Low Complexity and Reconfigurability for Channel filters in Software Defined Radio Receivers. +Power efficiency calculation of class E amplifier with nonlinear shunt capacitance. +Foreword from the PC Chairs. +Constructing Efficient Decision Trees by Using Optimized Numeric Association Rules. +Volume and power optimized high-performance system for UAV collision avoidance. +Robust super-exponential methods for blind deconvolution of MIMO-IIR systems with Gaussian noise. +An area efficient digital amplitude modulator in 90nm CMOS. +Shape Segmentation and Applications in Sensor Networks. +An adaptation method for FIR pre-emphasis filter on backplane channel. +"SEDA: a system for search, exploration, discovery, and analysis of XML Data." +Volume-aware extinction mapping. +Alternate hop-and-wait channel rendezvous method for cognitive radio networks. +Research frontiers in virtual reality. +Employing Natural Language Summarization and Automated Layout for Effective Presentation and Navigation of Information Retrieval Results. +Differentiated traffic engineering for QoS provisioning. +Tunable QoS-aware network survivability. +Generalization of Lambert's reflectance model. +A isolated bidirectional interleaved flyback converter for battery backup system application. +Proactive failure detection for WDM carrying IP. +Randomized coherent sampling for reducing perceptual rendering error. +A 1.2V 130µA 10-bit MOS-Only Log-Domain Sigma Delta Modulator. +Contextual trace-based video recommendations. +Large-scale Data Collection: a Coordinated Approach. +Automating the design of multi-dimensional clustering tables in relational databases. +Comparison of On-die Global Clock Distribution Methods for Parallel Serial Links. +Topological and MAI Constraints on the Performance of Wireless CDMA Sensor Networks. +Motion Mapping for MPEG-2 to H.264/AVC Transcoding. +A Novel Fast Algorithm for Speech and Audio Coding. +Interactive lecturing techniques. +Screen: bodily interaction with text in immersive VR. +Personalized recommendation on dynamic content using predictive bilinear models. +Algorithms for Mining Distance-Based Outliers in Large Datasets. +Load Balancing in Wireless Sensor Networks using Kirchhoff's Voltage Law. +Voltage Elevator using a MEMS Resonator. +An efficient regular expressions compression algorithm from a new perspective. +A Low-noise Monolithic CMOS Bio-potential Detector. +Asymmetrical query recommendation method based on bipartite network resource allocation. +On the nature of revenue-sharing contracts to incentivize spectrum-sharing. +A CMOS Image Sensor using Variable Reference Time Domain Encoding. +The strength of vicinity annexation in opportunistic networking. +Attributing authorship of revisioned content. +An Automated System for Web Portal Personalization. +Elements of Style: Learning Perceptual Shape Style Similarity +High-speed Fluorescence Imaging System for Freely Moving Animals. +A Volumetric Method for Building Complex Models from Range Images. +A fine-resolution Time-to-Digital Converter for a 5GS/S ADC. +Error spectrum shaping approach for lattice filter roundoff noise reduction. +Quaternionic formulation of the first regularity for four-band paraunitary filter banks. +Choosing an Efficient Internal Schema. +Communication as information-seeking: the case for mobile social software for developing regions. +Planar microcoils array applied to magnetic beads based lab-on-chip for high throughput applications. +Delayed-dictionary compression for packet networks. +A UWB mixer with a balanced wide band active balun using crossing centertaped inductor. +General capacity scaling of wireless networks. +An efficient buffer management policy based on an integrated Fuzzy-GA approach. +Optimal Allocation of Resources in Distributed Information Networks. +Wavelet-based spatially adaptive method for despeckling SAR images. +Set-valued Derivative and Lyapunov Method for Full-range Cellular Neural Networks. +Another look at the retina as an image scalar quantizer. +"Inside the New Coolstreaming: Principles, Measurements and Performance Implications." +Information integration over time in unreliable and uncertain environments. +Using Google distance to weight approximate ontology matches. +Indexing in a Hypertext Database. +Equivalent rise time for resonance in power/ground noise estimation. +EMI reduction by resonant clock distribution networks. +Extracting context to improve accuracy for HTML content extraction. +A set of questions for a concept inventory for a DC Circuits course. +A revenue sharing mechanism for federated search and advertising. +Tuning Database Configuration Parameters with iTuned. +Padding for orthogonality: Efficient subspace authentication for network coding. +Continuous reference images for FTIR touch sensing. +On Replica Placement for QoS-Aware Content Distribution. +Experiences in Federated Databases: From IRO-DB to MIRO-Web. +A Demonstration of DBWipes: Clean as You Query. +Precise area-controlled return-to-zero current steering DAC with reduced sensitivity to clock jitter. +Network emulation testbed for DTN applications and protocols. +Similarity measure and instance selection for collaborative filtering. +SpeedGate: A smart data pricing testbed based on speed tiers. +An all-digital PLL with a first order noise shaping Time-to-Digital Converter. +An adaptive neuron circuit for signal compression. +Gossip-based ad hoc routing. +Multiresolution painting and compositing. +Low-Voltage CMOS Single Ended and Fully Differential Amplifier with Programmable Gain. +"Annotating and Searching Web Tables Using Entities, Types and Relationships." +Approximation Algorithms for Grooming in Optical Network Design. +Digital camera identification using Colour-Decoupled photo response non-uniformity noise pattern. +Learning to Remove Soft Shadows +Second international workshop on RESTful design (WS-REST 2011). +Spectrum clouds: A session based spectrum trading system for multi-hop cognitive radio networks. +Automatic identification of user goals in Web search. +Exchanging pairwise secrets efficiently. +When is a List is a List?: Web Page Re-authoring for Small Display Devices. +Stylization and abstraction of photographs. +High-PF and ultra-low-THD power factor correction controller by sinusoidal-wave synthesis and optimized THD control. +Efficient passive transmission line macromodeling algorithm using method of characteristics. +Personal Voice Call Assistant: VoiceXML and SIP in a Distributed Environment. +A single inductor multiple output converter with adaptive delta current mode control. +Application of Novel Technologies to the Management of a Very Large Date Base. +Almost optimal virtual machine placement for traffic intense data centers. +Data Dependent Optimization of ROM Structures. +Self-similarity in the Web. +A chip-to-chip clock-deskewing circuit for 3-D ICs. +Lightweight Graphical Models for Selectivity Estimation Without Independence Assumptions. +QUIET: Continuous Query-driven Index Tuning. +Code division parallel delta-sigma A/D converter with probabilistic iterative decoding. +Measurement of cell and bacterial activity using array-based ISFET Chemical Current-Conveyor in weak-inversion. +A scalable offset-cancelled current/voltage sense amplifier. +A review of magnetic cellular automata systems. +A hierarchical monothetic document clustering algorithm for summarization and browsing search results. +Towards Economically Viable Infrastructure-Based Overlay Multicast Networks. +iBind: smooth indirect binding using segmented thin-layers. +Scheduling Algorithms for a Cache Pre-Filling Content Distribution Network. +A novel digitally controlled low noise ring oscillator. +Temporally coherent video matting. +An efficient algorithm for finding the CSG representation of a simple polygon. +Modular Reduction without Precomputational Phase. +The effect of D/A accuracy on the performance of digital predistortion for RF power amplifiers. +Shaboned display: an interactive substantial display using soap bubbles. +Mobile topigraphy: large-scale tag cloud visualization for mobiles. +An Injection of Tree Awareness: Adding Staircase Join to PostgreSQL. +munica: an advancing age's social networking device with greeting cards. +Concise and Expressive Mappings with +Spicy. +On quantifying the figures of merit of power-gating for leakage power minimization in nanometer CMOS circuits. +A Framework for Supporting DBMS-like Indexes in the Cloud. +Exposing private information by timing web applications. +Analog Implementation of SNR based Gain Adaptation for Denoising. +Integration of a wireless sensor network project for introductory circuits and systems teaching. +Integration time optimization for integrating photosensors. +Improving Adaptable Similarity Query Processing by Using Approximations. +A new current-mode incremental signaling scheme with applications to Gb/s parallel links. +Adaptive and Big Data Scale Parallel Execution in Oracle . +Secure crowdsourcing-based cooperative pectrum sensing. +Designing A Generalized NF2 Model with an SQL-Type Language Interface. +Analytical and experimental study of tuning range limitation in mm-wave CMOS LC-VCOs. +Database De-Centralization - A Practical Approach. +"High Speed, Minimal Area, and Low Power SEC Code for DRAMs with Large I/O Data Widths." +On Bubble Memories and Relational Data Base. +Fast replication in content distribution overlays. +Finding Regular Simple Paths in Graph Databases. +Analysis and understanding of paintings by Ito Jakuchu. +GPS comics: seeing thru walls. +Power analysis attack of QCA circuits: A case study of the Serpent cipher. +Analysis and design of lumped-element quadrature couplers with lossy passive elements. +State space modeling for sub-threshold SRAM stability analysis. +Fast algorithms for power grid analysis based on effective resistance. +Ambiguity in Processing Boolean Queries on TDMS Tree Structures: A Study of Four Different Philosophies. +Modeling Synaptic Plasticity within Networks of Highly Accelerated I&F Neurons. +A new deflection shape function for square membrane CMUT design. +Considerations for Sizing Buffers in Optical Packet Switched Networks. +"Distributed, secure load balancing with skew, heterogeneity and churn." +A low-distortion and wide dynamic range CMOS imager for wireless capsule endoscopy. +Optimal rate allocation for scalable video multicast over WiMAX. +A Modularization Mechanism for Conceptual Modeling. +Efficient Hardware Architecture for Fast IP Address Lookup. +WL-VC SRAM: a low leakage memory circuit for deep sub-micron design. +Efficient and distributed computation of maximum multicast rates. +Connectivity of large-scale Cognitive Radio Ad Hoc Networks. +A Testbed for Managing Dynamic Mixed Workloads. +Mismatch Compensated Design Techniques under Packaging-Induced Die Stress. +The REMIT System for Paraphrasing Relational Query Expressions into Natural Language. +Know your personalization: learning topic level personalization in online services. +Hardware accelerated shaders using FPGA's. +Shimon + ZOOZbeat: an improvising robot musician you can jam with. +Jitter error spectrum for NRZ D/A converters. +On the Limitations of the Variance-time Test for Inference of Long-range Dependence. +Shuffling a Stacked Deck: The Case for Partially Randomized Ranking of Search Engine Results. +Improving PA efficiency by chaos-based spreading in multicarrier DS-CDMA systems. +Synchronization and phase synthesis using PLL neural networks. +A merged first and second stage for low power pipelined ADC. +Predictive rendering. +Wiki content templating. +Satellite over Satellite (SOS) Network: A Novel Architecture for Satellite Network. +Scalable processing of flexible graph pattern queries on the cloud. +An area-efficient sampling rate converter using negative feedback technique. +Design of grid multi-wing butterfly chaotic attractors from piecewise Lü system based on switching control and heteroclinic orbit. +Behavior based web page evaluation. +"Biological Data Management: Research, Practice and Opportunities." +Distributed Algorithms for Approximating Wireless Network Capacity. +Low-Rank Mechanism: Optimizing Batch Queries under Differential Privacy. +Efficient memory architecture for JPEG2000 entropy codec. +Integrating Networks Measurements and Speech Quality Subjective Scores for Control Purposes. +Auditing for Accessibility. +Implementation of a CNN-based perceptual framework on a roving robot. +Adaptive Early Packet Filtering for Defending Firewalls Against DoS Attacks. +The Transaction Concept: Virtues and Limitations (Invited Paper) +Usability and Expressiveness in Database Keyword Search: Bridging the Gap. +Dynamic Cross-Layer Association in 802.11-Based Mesh Networks. +Progressive Lightcuts for GPU. +Opinion integration through semi-supervised topic modeling. +A Demonstration of SciDB: A Science-Oriented DBMS. +Time-Stepped Hybrid Simulation (TSHS) for Large Scale Networks. +NMOS-only Class-D Output Stages based on Charge Pump Architectures. +An interactive 3D toolkit for constructing 3D widgets. +Lumi-breath: flow of energy. +Hash-Based Join Algorithms for Multiprocessor Computers. +Where to adapt dynamic service compositions. +Broadband beamfoming using Nested Planar Arrays and 3D FIR frustum filters. +Locality in Search Engine Queries and Its Implications for Caching. +Self-Organizing Dynamic Fractional Frequency Reuse for Best-Effort Traffic through Distributed Inter-Cell Coordination. +Efficient skyline querying with variable user preferences on nominal attributes. +Dynamic Power Allocation Under Arbitrary Varying Channels - The Multi-User Case. +Extended User-Defined Indexing with Application to Textual Databases. +Split-ADC Digital Background Correction of Open-Loop Residue Amplifier Nonlinearity Errors in a 14b Pipeline ADC. +ReqFlex: Fuzzy Queries for Everyone. +Realistic animation of rigid bodies. +A retargeting methodology of nano-watt CMOS reference circuit based on advanced compact MOSFET model. +On the Fundamental Limits of Broadcasting in Wireless Mobile Networks. +"Calibrating the Query Optimizer Cost Model of IRO-DB, an Object-Oriented Federated Database System." +Detailed analysis of a phase ADC. +Client-server web applications widgets. +Distributed video coding based on adaptive binning. +Pragmatic evaluation of folksonomies. +Tribeca: A Stream Database Manager for Network Traffic Analysis. +On The Spatiotemporal Burstiness of Terms. +Decentralized Authorization in a Database System. +Cantina: a content-based approach to detecting phishing web sites. +"Wide-range, reference free, on-chip voltage sensor for variable Vdd operations." +Prediction-based adaptive transform coefficients scanning for inter-frame video coding. +A New Normalized Minimum-error Entropy Algorithm with Reduced Computational Complexity. +A simple and efficient dithering method for vector quantizer based mismatch-shaped ΔΣ DACs. +Web-based framework for spatiotemporal screen real estate management of interactive public displays. +Advanced colluder detection techniques for OSIFT-based hiding codes. +"Multiple Source, Multiple Destination Network Tomography." +Accurate detection of out-of-control variations from digital camera devices. +Education of Digital and Analog Circuits supported by computer algebra system. +Visual-weighted motion compensation frame interpolation with motion vector refinement. +Tertiary-Tree 12-GHz 32-bit Adder in 65nm Technology. +Fine water with coarse grids: combining surface meshes and adaptive discontinuous Galerkin. +Trajectory improves data delivery in vehicular networks. +Tiled Interleaving for Multi-Level 2-D Discrete Wavelet Transform. +Temperature performance of sub-1V ultra-low power current sources. +Temporal summarization of event-related updates in wikipedia. +Security: Cross Layer Protocol in Wireless Sensor Network. +The extended Kalman filtering algorithm for carrier synchronization and the implementation. +RDFPeers: a scalable distributed RDF repository based on a structured peer-to-peer network. +An Efficient SQL-based RDF Querying Scheme. +Database Program Conversion: A Framework for Research. +Pan and scan: Configuring cameras for coverage. +A mathematical framework for active circuits based on port equivalence using limit variables. +Making radiosity usable: automatic preprocessing and meshing techniques for the generation of accurate radiosity solutions. +Increasing Buffer-Locality for Multiple Index Based Scans through Intelligent Placement and Index Scan Speed Control. +Toward efficient and accurate order-independent transparency. +WS-replication: a framework for highly available web services. +A tile-based 3D frame using a reconfigurable display matrix. +A pipelined dual-channel switched capacitor programmable gain amplifier. +"A high precision, output-capacitor-free low-dropout regulator for system-on-chip design." +PatchTable: Efficient Patch Queries for Large Datasets and Applications +Embracing Interference in Ad Hoc Networks Using Joint Routing and Scheduling with Multiple Packet Reception. +Panoramic imaging system for mobile devices. +Lexicographic Fairness in WDM Optical Cross-Connects. +Approximate Substring Matching over Uncertain Strings. +"tangible workbench ""TW"": with changeable markers." +A 115µW UWB Programmable Gain Amplifier for intelligent tire personal area network. +A novel charge-metering method for voltage mode neural stimulation. +Differentiated Multimedia Web Services Using Quality Aware Transcoding. +Design of Digital IIR Integrator using Discrete Hartley Transform Interpolation Method. +Buzz-based recommender system. +"Stable Peers: Existence, Importance, and Application in Peer-to-Peer Live Video Streaming." +Pigeon: impossible. +Spherical representation and polyhedron routing for load balancing in wireless sensor networks. +Correlation Maps: A Compressed Access Method for Exploiting Soft Functional Dependencies. +Particle transport and image synthesis. +In Vitro Epileptic Seizure Prediction Microsystem. +A robust optimization approach to backup network design with random failures. +The Propel Distributed Services Platform. +Estimation of Capacitive Crosstalk-Induced Short-Circuit Energy. +Visualization technologies as a tool for science education (panel session). +A Protocol-Independent Approach for Analyzing the Optimal Operation Point of CSMA/CA Protocols. +Efficient XSLT Processing in Relational Database System. +Texture mapping progressive meshes. +IO-Top-k: Index-access Optimized Top-k Query Processing. +Log-domain Circuit Models of Chemical Reactions. +ARIES/NT: A Recovery Method Based on Write-Ahead Logging for Nested Transactions. +Synchronization and Bifurcations in Networks of Coupled Hindmarsh-Rose Neurons. +Output spectrum analysis of buck converters in DCM with PFM control. +Searching for events in the blogosphere. +An Efficient Method for Estimation of Autoregressive Signals Subject to Colored Noise. +"A low-voltage, high linear programmable triode transconductor." +Effective summarization of large collections of personal photos. +"Cognitive Modeling: Knowledge, Reasoning and Planning for Intelligent Characters." +A continuous-time VCO-assisted VCO-based ΣΔ modulator with 76.6dB SNDR and 10MHz BW. +Constraints methods for flexible models. +A novel representation for digital scenes. +User-Oriented Data Base Query with the ROBOT Natural Language Query System. +The Semantic Web Paving the Way to the Knowledge Society. +On the Quality of Triangle Inequality Violation Aware Routing Overlay Architecture. +Faking Sandy: characterizing and identifying fake images on Twitter during Hurricane Sandy. +Optimized asynchronous multi-channel neighbor discovery. +collective. +Analytical Design of an Equiripple DC-Notch FIR Filter. +A framework for realistic image synthesis. +Forcehttps: protecting high-security web sites from network attacks. +A general framework for adaptive and online detection of web attacks. +Design and development of learning management system at universiti Putra Malaysia: a case study of e-SPRINT. +An Approach to Materialize Digital Fingerprinting Based on Proxy Signature Scheme. +Dynamics of a Logarithmic Transimpedance Amplifier. +SNDocRank: document ranking based on social networks. +Homepage live: automatic block tracing for web personalization. +Fast anomaly detection despite the duplicates. +"Network Calculus Based Simulation for TCP Congestion Control: Theorems, Implementation and Evaluation." +System analysis and energy model for radio-triggered battery-less monolithic wireless sensor receiver. +A 7 mW 2.5 GHz spread spectrum clock generator using switch-controlled injection-locked oscillator. +Resequencing Delays Under Multipath Routing - Asymptotics in a Simple Queueing Model. +Computation in communication: Spike event coding for programmable analog arrays. +ODISSEA: A Peer-to-Peer Architecture for Scalable Web Search and Information Retrieval. +A hybrid MPPT method for Photovoltaic systems via estimation and revision method. +Application-aware virtual machine migration in data centers. +Accelerating Pathology Image Data Cross-Comparison on CPU-GPU Hybrid Systems. +Multi-clock pipeline structure for 802.11 a WLAN transceiver. +A low power multi-mode CMOS image sensor with integrated on-chip motion detection. +Fluctuation tolerant read scheme for ultrafast DNA sequencing with nanopore device. +Automated semantic tagging of speech audio. +All-Optical Label Stacking: Easing the Trade-offs Between Routing and Architecture Cost in All-Optical Packet Switching. +"Fast and accurate distance, penetration, and collision queries using point-sphere trees and distance fields." +CURE for Cubes: Cubing Using a ROLAP Engine. +Object Tracking Based on RFID Coverage Visual Compensation in Wireless Sensor Network. +Line-based image coding using adaptive prediction filters. +A double-sampled path-coupled single-loop ΣΔ modulator using noise-shaped integrating quantizer. +GigaHash: scalable minimal perfect hashing for billions of urls. +Predicting advertiser bidding behaviors in sponsored search by rationality modeling. +Perfect shuffling for cycle efficient puncturer and interleaver for software defined radio. +Two-dimensional communication. +Designing with constraints parametric BIM. +TapShot: screenshot snippets as GUI shortcuts. +OWL DL vs. OWL flight: conceptual modeling and reasoning for the semantic Web. +Cluster-Based Back-Pressure Routing Algorithm. +Web engineering with the visual software circuit board. +Semi-symbolic modeling and simulation of circuits and systems. +Design methodology for inductive power transfer systems targeting high power implantable devices. +On Index Selection Schemes for Nested Object Hierarchies. +The Crosspoint-Queued Switch. +A Randomized Web-Cache Replacement Scheme. +A back telemetry-capable active high efficiency rectifier in standard CMOS process. +Towards leveraging closed captions for news retrieval. +Efficient output transition time modeling in CMOS gates with ramp/exponential inputs. +A deformer-based approach to facial rigging. +Webbed Spaces: Between Exhibition and Network (Panel). +Throughput Analysis of End-to-End Measurement-Based Admission Control in IP. +Special properties of the modified DFT to achieve algorithmic fault tolerance in Adaptive Filters. +BCN: Expansible network structures for data centers using hierarchical compound graphs. +An integrated four-port converter for compact and efficient hybrid power systems. +Case Study: GPU-based implementation of sequence pair based floorplanning using CUDA. +Power minimization of a 433-MHz LC VCO for an implantable neural recording system. +Security Model for Web Enabled Date Warehouse. +Accurate Transformation-based Timing Analysis for RC Non-tree Circuits. +Wavelet based detection of moving tree branches and leaves in video. +DBMS Research at a Crossroads: The Vienna Update. +Convergence analysis of continuous-time systems based on feedforward neural networks. +Multiple sub-pixel interpolation filters with adaptive symmetry for high-resolution video coding. +A practical model for subsurface light transport. +"One project, four schema languages: medley or melee?" +Collaborative filtering meets next check-in location prediction. +Approximating Optimal Spare Capacity Allocation by Successive Survivable Routing. +"Track globally, deliver locally: improving content delivery networks by tracking geographic social cascades." +On Model Parameter Estimation for H.264/AVC Rate Control. +Rank aggregation for meta-search engines. +A Chain Reaction DoS Attack on 3G Networks: Analysis and Defenses. +Indexing Data-oriented Overlay Networks. +XML design for relational storage. +A mismatch-robust period-based VCO frequency comparison technique for ULP receivers. +Semantic virtual environments. +On the Potential of Structure-Free Data Aggregation in Sensor Networks. +Design and performance analysis of DS-UWB rake receiver. +Properties of random direction models. +LOD Generation for Urban Scenes +Drive-By Localization of Roadside WiFi Networks. +Walk and learn: a two-stage approach for opinion words and opinion targets co-extraction. +Parameter estimation of Hodgkin-Huxley neuronal model using dual extended Kalman filter. +Learning to classify human object sketches. +Object Database Morphology. +Modelling and analysis of multicell converters using discrete time models. +Early case splitting and false path detection to improve high level ATPG techniques. +Analytical Transient Response and Propagation Delay Model for Nanoscale CMOS Inverter. +A Novel Genetic Algorithm with Cell Crossover for Circuit Design Optimization. +DEFT: Distributed Exponentially-Weighted Flow Splitting. +Portable biomarker detection with magnetic nanotags. +DHT-based traffic localization in the wild. +On Restructuring Nested Relations in Partitioned Normal Form. +"A low-voltage, analog power-law function generator." +A 5.2 pJ/pulse impulse radio pulse generator in 90 nm CMOS. +The blues machine. +Bi-criterion optimization of non-uniform filter banks for acoustic echo cancellation. +A parallel architecture for ray-tracing with an embedded intersection algorithm. +Adaptive radiosity textures for bidirectional ray tracing. +AdapCode: Adaptive Network Coding for Code Updates in Wireless Sensor Networks. +Synchronizing semantic stores with commutative replicated data types. +Vegetation on Monsters University. +Design of Cosine-Modulated Pseudo-QMF Banks Using Semidefinite Programming Relaxation. +7-decades tunable translinear SiGe BiCMOS 3-phase sinusoidal oscillator. +Group Event Detection for Video Surveillance. +Binning algorithm for accurate computer aided device modeling. +Performance of Reliable Transport Protocol over IEEE 802.11 Wireless LAN: Analysis and Enhancement. +"Rolling boles, optimal XML structure integrity for updating operations." +A Graph-Based Model for Disconnected Ad Hoc Networks. +Migrating web application sessions in mobile computing. +A click model for time-sensitive queries. +Exploiting session-like behaviors in tag prediction. +Guaranteeing the topology of an implicit surface polygonization for interactive modeling. +Fast-automatic 3D face generation using a single video camera. +Mobile Computing: Fertile Research Area or Black Hole? (Panel). +Influence propagation and maximization for heterogeneous social networks. +Fully integrated and reconfigurable architecture for coherent self-testing of IQ ADCs. +A 2-pin input multi frequency power scavenging unit for wireless sensor nodes and RFID tags. +Aggregate Congestion Control for Distributed Multimedia Applications. +Efficient alias-free rendering using bit-masks and look-up tables. +Does social contact matter?: modelling the hidden web of trust underlying twitter. +A controller for wind generators to increase damping of power oscillations. +A semiblind receiver based upon multiple constrained subspace MUD for long-code downlink multirate DS-CDMA systems. +Dynamically Swappable Hardware Design in Partially Reconfigurable Systems. +A new model for adaptive displays based on von Kries hypothesis. +Mixed Techniques to Protect Precharged Busses against Differential Power Analysis Attacks. +Beating Shapes Relying on Moire Level Lines +A reconfigurable IC for wireless monitoring of chemical or electrical neural activity. +Design of orthogonal coded excitation for synthetic aperture imaging in ultrasound systems. +Conecptual Modeling Using and Extended E-R Model (Abstract). +Adaptive Aggregation on Chip Multiprocessors. +Computationally-efficient iterative decoding for storage system design: Min-Sum refined. +To Cache or Not To Cache? +On the Effectiveness of Probabilistic Packet Marking for IP Traceback under Denial of Service Attack. +Analysis of the convergence behavior of the complex Gaussian kernel LMS algorithm. +Reducing Packet Losses in Networks of Commodity IEEE 802.15.4 Sensor Motes Using Cooperative Communication and Diversity Combination. +A noise-tolerant matchline scheme with XOR-based conditional keeper for energy-efficient TCAM. +Output perturbation with query relaxation. +Perturbation analysis of subspace-based semi-blind MIMO channel estimation approaches. +High-order multi-bit incremental converter with Smart-DEM algorithm. +Using proportional transportation similarity with learned element semantics for XML document clustering. +A sub-100nA power management system for wireless structure health monitoring applications. +A novel MUX-FF circuit for low power and high speed serial link interfaces. +A Decomposition Method for Transmission Scheduling in Multi-Channel Wireless Sensor Networks. +Collaborative ICT for Indian business clusters. +DNA-like Learning Algorithm of CNN Template Implementing Boolean Functions. +Mobile tagging and mixed realities. +A Presentation Architecture for Individualized Content. +Topology Control in Heterogeneous Wireless Networks: Problems and Solutions. +Sparse kernel cepstral coefficients (SKCC): Inner-product based features for noise-robust speech recognition. +"Satellite Navigation: New Signals, New Challenges." +An Adaptive Block Size Phase Correlation Motion Estimation Using Adaptive Early Search Termination Technique. +3D reconstruction from planar points: A candidate method for authentication of fingerprint images captured by mobile devices. +A real-time motion-feature-extraction image processor employing digital-pixel-sensor-based parallel architecture. +Protection of Information in Relational Data Bases. +Optimal Bandwidth Reservation Schedule in Cellular Network. +The Origin of Power-Laws in Internet Topologies Revisited. +FRM-Based FIR Filters with Minimum Coefficient Sensitivities. +Internet search engines: past and future. +Oscillations with TCP-Like Flow Control in Networks of Queues. +"Design, Analysis and Implementation of Integrated Micro-Thermal Control Systems." +A Comparative Study of 6T and 4T SRAM Cells in Double-Gate CMOS with Statistical Variation. +Facilitating Multimedia Database Exploration through Visual Interfaces and Perpetual Query Reformulations. +A parallel processor architecture for graphics arithmetic operations. +A Unified Approach to Optimizing Performance in Networks Serving Heterogeneous Flows. +Best-effort Networks: Modeling and Performance Analysis via Large Networks Asymptotics. +Harmonic Control Network for 2.6 GHz CMOS Class-F Power Amplifier. +Interactive light field display from a cluster of projectors. +ERA: Efficient Serial and Parallel Suffix Tree Construction for Very Long Strings. +DoNet: a semantic domotic framework. +Algorithmic Applications for a new Result on Multivalued Dependencies. +Stackelberg games for energy-efficient power control in wireless networks. +Modeling and simulation of complex heterogeneous systems. +Parsimonious Linear Fingerprinting for Time Series. +Understanding user goals in web search. +Queries and Updates in the coDB Peer to Peer Database System. +Improving the power-delay product in SCL circuits using source follower output stage. +CS4: A Tool for Database Design by Infological Simulation (Abstract). +A novel low-power physical design methodology for MTCMOS. +SWIM: A Simple Model to Generate Small Mobile Worlds. +TicTacToon: a paperless system for professional 2D animation. +ISP and Egress Path Selection for Multihomed Networks. +Designing a Practical Access Point Association Protocol. +A class of reliable UDP-based transport protocols based on stochastic approximation. +A Middleware for Fast and Flexible Sensor Network Deployment. +Aggregate Maintenance for Data Warehousing in Informix Red Brick Vista. +BVH for efficient raytracing of dynamic metaballs on GPU. +Who is SMILing on the Web? +How status and reputation shape human evaluations: consequences for recommender systems. +Current mode DC-DC buck converters with optimal fast-transient control. +Objectivity Industrial Exhibit. +On Network Correlated Data Gathering. +High performance data acquisition system for IRFPA testing. +A CMOS contact imager for locating individual cells. +Efficient similarity joins for near duplicate detection. +Dynamic sawtooth compensation (DSC) technique with self-tuning mode selection (SMS) for current-mode Buck-Boost converter. +Clustering Overhead for Hierarchical Routing in Mobile Ad hoc Networks. +sDTW: Computing DTW Distances using Locally Relevant Constraints based on Salient Feature Alignments. +Performance Issues in Incremental Warehouse Maintenance. +The Meandering Current Mobility Model and its Impact on Underwater Mobile Sensor Networks. +Arithmetic module generator with algorithm optimization capability. +DipZoom: The Internet Measurements Marketplace. +A FVF based output capacitorless LDO regulator with wide load capacitance range. +Truncated MCM using pattern modification for FIR filter implementation. +Rome Reborn. +GridDataBus: Information-centric platform for scalable secure resilient phasor-data sharing. +GoThere: travel suggestions using geotagged photos. +Congestion Control via Online Sampling. +Consensus in networked multi-agent systems via model predictive control with horizon one. +Web based device independent mobile map applications.: the m-CHARTIS system. +Maximum Throughput and Fair Bandwidth Allocation in Multi-Channel Wireless Mesh Networks. +Approaches to the implementation of noise-coupling in continuous-time Delta-Sigma modulators. +Optimal control for base station sleep mode in energy efficient radio access networks. +Measuring harmonics by an improved FFT-based algorithm with considering frequency variations. +Wireless network coding: Deciding when to flip the switch. +A custom GZIP decoder for DTV application. +Task-binding based branch-and-bound algorithm for NoC mapping. +Design and integration of a remotely programmable dental monitoring device. +Cooperative Packet Caching and Shortest Multipath Routing in Mobile Ad hoc Networks. +Balancing Supply and Demand of Bandwidth in Wireless Cellular Networks: Utility Maximization over Powers and Rates. +Efficient Management of Multiversion Documents by Object Referencing. +Effect of Large Buffers on TCP Queueing Behavior. +Computer-mediated performance and extended instrument design. +Digital halftoning with space filling curves. +Towards a legged chip. +Exact regenerating codes for Byzantine fault tolerance in distributed storage. +Lobe asymmetry-based automatic classification of brain magnetic resonance images. +Beat story: life-log system of subjective time using heart beat rate. +Reading a Set of Disk Pages. +Developing practical models for teaching motion capture. +Fundamental and Secondary Issues in the Design of Non-Procedural Relational Languages. +L2P2: Location-aware location privacy protection for location-based services. +Compensation of IQ imbalance and transmitter nonlinearities in broadband MIMO-OFDM. +Improving the coverage of ultra wideband impulse radio by pulse compression. +Letter from the the Associate Editors. +Compressed data structures for annotated web search. +Peceptual distortion metric based on wavelet frequency sensitivity and multiple visual fixations. +Improved characterization of high speed continuous-time ΔΣ modulators using a duobinary test interface. +A Compact On-Chip Capacitive-Coupling Scheme for Very-Low Frequency Applications. +The efficient VLSI design of BI-CUBIC convolution interpolation for digital image processing. +A dynamic game solution to malware attack. +Modeling the growth of future web. +Particle swarm localization of acoustic sources in the presence of reverberation. +A Fast 3D-BSG Algorithm for 3D Packing Problem. +MyXDNS: a resquest routing dns server with decoupled server selection. +Towards a development process for geospatial information retrieval and search. +SHRiNK: A method for scaleable performance prediction and efficient network simulation. +I/O-Conscious Data Preparation for Large-Scale Web Search Engines. +OrientStore: A Schema Based Native XML Storage System. +Indexing Multi-Dimensional Uncertain Data with Arbitrary Probability Density Functions. +Noise coupling due to through silicon vias (TSVs) in 3-D integrated circuits. +A reconfigurable FIR filter design using dynamic partial reconfiguration. +A VLSI Architecture for a Fast Computation of the 2-D Discrete Wavelet Transform. +A search engine for natural language applications. +The Development and Application of Data Base Design Tools and Methodology. +XAR-miner: efficient association rules mining for XML data. +Master Stability Function for networks of Chua's circuits with static and dynamic couplings. +A Human Vision System based Flash Picture Coding Method for Video Coding. +Physical layer security from inter-session interference in large wireless networks. +Using statistical topic models to organize and visualize large-scale architectural image databases. +DET FF topologies: A detailed investigation in the energy-delay-area domain. +Turning liabilities into assets: Exploiting deep submicron CMOS technology to design secure embedded circuits. +CosmicAI: generating sky backgrounds through content-based search and flexible composition. +Direct DDFS FM modulator with baseband interpolator. +Block precoder-based energy constrained DFE. +Surveillance face hallucination via variable selection and manifold learning. +Provisioning Quality Controlled Medium Access in UltraWideBand-Operated WPANs. +Accurate transient response model for automatic synthesis of high-speed operational amplifiers. +A 3mW 8-Bit radiation-hardened-by-design DAC for ultra-wide temperature range from -180°C to 120°C. +Collaborative error control method for sequential logic circuits. +A License Management Model to Support B2C and C2C Music Sharing. +Towards a GBit/s Programmable Decoder for LDPC Convolutional Codes. +The Yin and Yang of Processing Data Warehousing Queries on GPU Devices. +Decorating implicit surfaces. +Gamesense. +CLB-based Detection and Correction of Bit-flip faults in SRAM-based FPGAs. +Minimum-Energy Broadcast Using Practical Directional Antennas in All-Wireless Networks. +The External Schema and CODASYL. +An ultra-fast parallel architecture using sequential circuits computing on random bits. +CMOS variable-gain wide-bandwidth CMFB-free differential current feedback amplifier for ultrasound diagnostic applications. +VLSI implementation of a WiMAX/LTE compliant low-complexity high-throughput soft-output K-Best MIMO detector. +Hybrid stereo camera: an IBR approach for synthesis of very high resolution stereoscopic image sequences. +SNR-based frame-level video bit rate allocation. +3.5-D integration: A case study. +Noise model of indirect-feedback sigma-delta image sensors. +Packetostatics: deployment of massively dense sensor networks as an electrostatics problem. +Dual-Buffering Strategies in Object Bases. +A biomimetic CMOS synapse. +A Low Power 4-bit Interleaved Burst Sampling ADC for Sub-GHz Impulse UWB Radio. +A novel approach to statistical simulation of ICS affected by non-linear variabilities. +A Method for Change Computation in Deductive Databases. +Maximum Coverage at Minimum Cost for Multi-Domain IP/MPLS Networks. +Design of a low power mixed-signal RAKE receiver. +An Architecture for Managing Application Services over Global Networks. +Self-Configuring Information Management for Large-Scale Service Overlays. +Electronically tunable current-mode universal biquadratic filter using a single CCCFTA. +Vulnerability and protection for distributed consensus-based spectrum sensing in cognitive radio networks. +Modified SDF Architecture for Mixed DIF/DIT FFT. +Community-driven data grids. +Capacity-Constrained Design of Resilient Multi-Tier Wireless Mesh Networks. +Computer-Aided Average-Value Modeling of Fourth-Order PWM DC-DC Converters. +A framework for evaluating network measures for functional importance. +Realization of MIMO linear discrete-time systems with minimum L2-sensitivity and no overflow oscillations. +Adaptive coded aperture projection. +Power-aware NoC router using central forecasting-based dynamic virtual channel allocation. +DSP implementation of a low-complexity algorithm for real-time automated vessel detection in images of the fundus of the human retina. +GeoScope: Online Detection of Geo-Correlated Information Trends in Social Networks. +Low-latency multi-flow broadcasts in fading wireless networks. +Rapid prototyping of web applications combining domain specific languages and model driven design. +A Bit-Stuffing Algorithm for Crosstalk Avoidance in High Speed Switching. +The TreeScape System: Reuse of Pre-Computed Aggregates over Irregular OLAP Hierarchies. +The science of images: a cross-disciplinary introduction to the field of 3-D computer graphics. +On the optimal mobile association in heterogeneous wireless relay networks. +Complex Event Detection at Wire Speed with FPGAs. +Bonding-wire triangular spiral inductor for on-chip switching power converters. +Consideration set generation in commerce search. +A more precise model for web retrieval. +A habit mining approach for discovering similar mobile users. +Inferring Link Loss Using Striped Unicast Probes. +An experimental study of large-scale mobile social network. +FDB: A Query Engine for Factorised Relational Databases. +Scalable Hierarchical Access Control in Secure Group Communications. +SEED: a framework for extracting social events from press news. +A new low-voltage CMOS unity-gain buffer. +Origins London-Belize Website. +Intelligent cage for remotely powered freely moving animal telemetry systems. +An economic side-effect for prefix deaggregation. +A Fast and reliable switching median filter for highly corrupted images by impulse noise. +Basins of attraction for periodic solutions of discretized sliding mode control systems. +High-Performance Dynamic Pattern Matching over Disordered Streams. +A Signal Processing View on Packet Sampling and Anomaly Detection. +Oz: the great and volumetric. +Real-time compositing framework for interactive stereo fMRI displays. +Compact power-efficient CMOS exponential voltage-to-voltage converter. +Balanced Packet Discard for Improving TCP Performance in ATM Networks. +SRAM in hold-operation: Modeling the interaction of soft-errors and switching power-supply noise. +"Gossip algorithms: design, analysis and applications." +A Pragmatic Approach to Structured Database Design. +Locking range analysis for injection-locked frequency dividers. +Optimizing throughput for limited receiver circuit power. +Analysis and Performance Evaluation of a Digital Carrier Synchronizer for Modem Applications. +A mixed queueing network model of mobility in a campus wireless network. +Visual thinkers in an age of computer visualization: problems and possibilities. +Policy Based QoS Architecture in MUSE. +Instant radiosity. +A high performance CAVLC encoder design for MPEG-4 AVC/H.264 video coding applications. +Consort: Node-Constrained Opportunistic Routing in wireless mesh networks. +A novel neural network-based linearization and auto-compensation technique for sensors. +Piko: A Framework for Authoring Programmable Graphics Pipelines +Quasi-Resonant Interconnects: A Low Power Design Methodology. +Computational advertising: leveraging user interaction & contextual factors for improved ad retrieval & ranking. +Managing and querying transaction-time databases under schema evolution. +Compact lumped element model for TSV in 3D-ICs. +Offset cancellation for zero crossing based circuits. +Retrieving Top-k Prestige-Based Relevant Spatial Web Objects. +Sampling Biases in IP Topology Measurements. +Indexing for Function Approximation. +On End-to-End Throughput of Opportunistic Routing in Multirate and Multihop Wireless Networks. +0.5V wavelet filters using current mirrors. +BodyQoS: Adaptive and Radio-Agnostic QoS for Body Sensor Networks. +A machine-to-machine architecture to merge semantic sensor measurements. +A Theoretical Analysis of Split Delta-Sigma ADCs. +Double Bubbles Sans Toil and Trouble: Discrete Circulation-Preserving Vortex Sheets for Soap Films and Foams +Finding all modes of nonlinear oscillations by the Krawczyk-Moore-Jones algorithm. +Concept for an adaptive digital front-end for multi-mode wireless receivers. +An adaptive speed function of level set method for moving object extraction. +Using photographic quality images in desktop applications (panel session). +Data Model Equivalence. +Approximate NN queries on Streams with Guaranteed Error/performance Bounds. +A distributed broadcast protocol in multi-hop cognitive radio ad hoc networks without a common control channel. +A Capacitor-Free CMOS Low-Dropout Regulator. +Hardware-efficient filterbank design for fast recursive MDST and IMDST algorithms. +Rango: a case of lighting and compositing a CG animated feature in an FX-oriented facility. +Iolaus: securing online content rating systems. +Accuracy estimate and optimization techniques for SimRank computation. +A technique to design high entropy chaos-based true random bit generators. +Novel MOSFET-only bandgap voltage reference. +Providing Multiple Service Classes For Bursty Data Traffic in Cellular Networks. +Scheduling and binding for low gate leakage nanoCMOS datapath circuit synthesis. +Making Large Scale Deployment of RCP Practical for Real Networks. +Double Mobility: Coverage of the Sea Surface with Mobile Sensor Networks. +"Modeling, Analysis and Improvement for BitTorrent-Like File Sharing Networks." +SplashDisplay: volumetric projection using projectile beads. +"Classification of access network types: Ethernet wireless LAN, ADSL, cable modem or dialup?" +A free but efficient class AB two-stage operational amplifier. +Fast HEVC intra mode decision using matching edge detector and kernel density estimation alike histogram generation. +Quill: a collaborative design assistant for cross platform web application user interfaces. +A Faceted Query Engine Applied to Archaeology. +Analyzing Quantitative Databases: Image is Everything. +REHIST: Relative Error Histogram Construction Algorithms. +Packet distribution Algorithms for Sensor Networks. +Analysis of n-Dimensional Quadtrees using the Hausdorff Fractal Dimension +Emancipated Pixels: Real-World Graphics in the Luminous Room. +Analog front-end RX design for UWB impulse radio in 90nm CMOS. +A best-first tree-searching approach for ML decoding in MIMO system. +Tekken 6 bloodline rebellion intro. +Bandwidth Allocation for Virtual Paths (BAVP): Investigation of Performance of Classical Constrained and Genetic Algorithm Based Optimisation Techniques. +A floating gate graphene FET complementary inverter with symmetrical transfer characteristics. +Leveraging user comments for aesthetic aware image search reranking. +Preface for the TempWeb '13 Workshop. +Allocating inverted index into flash memory for search engines. +PASSAGES: Preserving Anonymity of Sources and Sinks against Global Eavesdroppers. +Optimization of Systems of Algebraic Equations for Evaluating Datalog Queries. +Monolithic Spiral Transformers: A Design Methodology. +Minimum Cost Data Aggregation with Localized Processing for Statistical Inference. +On the use of joint diagonalization in blind signal processing. +Overview of Turn Data Management Platform for Digital Advertising. +Topic segmentation of message hierarchies for indexing and navigation support. +Improving the Statistical Properties of Number Sequences Produced by Pseudorandom Generators derived from the Rényi Chaotic Map. +A New ACK Policy To Mitigate the Effects of Coexisting IEEE 802.11/802.11e Devices. +Perspective-computer graphics in Europe and Japan (panel session). +Design and realization of stereoscopic 3D for Disney classics. +Fast and accurate estimation of gain and sample-time mismatches in time-interleaved ADCs using on-chip oscillators. +Cross-scene abnormal event detection. +Growing documentary: creating a collaborative computer-supported story telling environment. +Space Efficiency in Synopsis Construction Algorithms. +Mining Top-K Large Structural Patterns in a Massive Network. +Adaptive mode and modulation coding switching scheme in MIMO multicasting system. +Stimulating Cooperation in Multi-hop Wireless Networks Using Cheating Detection System. +Projected light microscopy. +Updates for Structure Indexes. +Selectivity Estimation for Fuzzy String Predicates in Large Data Sets. +Perspective dragging: quick area selection in photos. +Lighting technology of the last of us. +AMS and RF design for reliability methodology. +Optimal Top-K Query Evaluation for Weighted Business Processes. +Large Scale Analysis of the eDonkey P2P File Sharing System. +Linear current mode image sensor with focal plane spatial image processing. +ClouDiA: A Deployment Advisor for Public Clouds. +A mode-mapping and optimized MV conjunction based MGS-scalable SVC to AVC IPPP transcoder. +Multi-chains encoding scheme in low-cost ATE. +Performance of Random Access Scheduling Schemes in Multi-Hop Wireless Networks. +A 27-GHz low-power push-push LC VCO with wide tuning range in 65nm CMOS. +Q-CSMA: Queue-Length Based CSMA/CA Algorithms for Achieving Maximum Throughput and Low Delay in Wireless Networks. +I want my virtual friends to be life size!: adapting Second Life to multi-screen projected environments. +A tool for design exploration and power optimization of CMOS RF circuits blocks. +Discovering geographical topics in the twitter stream. +Variable increment step based reconfigurable interleaver for multimode communication application. +A New Quadrature LC-Oscillator. +The Decomposition Versus Synthetic Approach to Relational Database Design. +High Performance Dictionary-Based String Matching for Deep Packet Inspection. +Impact of MOS threshold-voltage mismatch in current-steering DACs for CT ΣΔ modulators. +SmartSeer: Using a DHT to Process Continuous Queries Over Peer-to-Peer Networks. +Query clustering using click-through graph. +The Case for Determinism in Database Systems. +A cyclic vernier time-to-digital converter synthesized from a 65nm CMOS standard library. +"A colloidal display: membrane screen that combines transparency, BRDF and 3D volume." +Disparity map acquisition with occlusion handling using warping constraint. +3D reconstruction of intricate objects using planar cast shadows. +Robust analytical design of equiripple comb FIR filters. +ASCENT: Adaptive Self-Configuring sEnsor Networks Topologies.. +The flying : Kinect art using OpenNI and learning system. +A clock network of distributed ADPLLs using an asymmetric comparison strategy. +WorldBrush: Interactive Example-based Synthesis of Procedural Virtual Worlds +A model for efficient and flexible image computing. +Analysis of communication models in web service compositions. +Animation and rendering of complex water surfaces. +Image-based visual hulls. +Lock-free consistency control for web 2.0 applications. +Tradeoffs in Processing Complex Join Queries via Hashing in Multiprocessor Database Machines. +Touch-less interaction smartphone on go! +Enhancing industry participation in ISCAS and Circuits and Systems Society. +A motion sensor interactive interface for viewing and manipulating protein structural data in 3D. +Indexing Mixed Types for Approximate Retrieval. +Experiments with persian text compression for web. +Overclocking datapath for latency-error tradeoff. +Graphical representation of RDF queries. +A novel approach for FFT data reordering. +Pixelating vector line art. +Detection of a preseizure state in epilepsy: signal prediction by maximally weakly nonlinear networks? +Variance Analysis for Monte Carlo Integration +Weighted Viterbi decoding for MIMO-OFDM systems with linear precoding. +Drive and sense interface for gyroscopes based on bandpass sigma-delta modulators. +Partitioning RDF exploiting workload information. +Sampling clock jitter estimation and compensation in ADC circuits. +EnLoc: Energy-Efficient Localization for Mobile Phones. +Improved hybrid coding scheme for intra 4×4 residual block produced by H.264/AVC. +Gradient domain HDR compositing. +Soundness proof of Z semantics of OWL using institutions. +Phoiling phishing. +Link scheduling in sensor networks: distributed edge coloring revisited. +Impact of power control on the performance of ad hoc wireless networks. +Programming algorithms for multilevel phase-change memory. +Interactive Schema Translation with Instance-Level Mappings. +Landmark Selection and Greedy Landmark-Descent Routing for Sensor Networks. +Moving big data to the cloud. +Simulation techniques for noise-analysis in the PLL design process. +An importance-driven radiosity algorithm. +Group Recommendation: Semantics and Efficiency. +Data Markets in the Cloud: An Opportunity for the Database Community. +Lighting and rendering Alice in Wonderland. +Content-adaptive parallax barriers for automultiscopic 3D display. +A low-phase-noise LC QVCO with bottom-series coupling and capacitor tapping. +Tunable Locally-Optimal Geographical Forwarding in Wireless Sensor Networks With Sleep-Wake Cycling Nodes. +Sailer: an effective search engine for unified retrieval of heterogeneous xml and web documents. +On the Trade-Off Between Energy and Multicast Efficiency in 802.16e-Like Mobile Networks. +The Effect of Skewed Data Access on Buffer Hits and Data Contention an a Data Sharing Environment. +"The Implementation of GERM, An Entity-Relationship Data Base Management System" +The webinos project. +Increasing scene complexity: distributed vectorized view culling. +Autocalibration for virtual environments tracking hardware. +Implementation of a Fuzzy-Set Theoretic Data Structure System (Abstract). +Advances in semantic multimedia analysis for personalised content access. +Tonality Index of Sigma-Delta Modulators : A Psychoacoustics Model Based Approach. +Finding minimum interconnect sub-arrays in reconfigurable VLSI arrays. +Gimme' the context: context-driven automatic semantic annotation with C-PANKOW. +Reducing the effects of component mismatch by using relative size information. +Scrolling behaviour with single- and multi-column layout. +Analysis of TDMA crossbar real-time switch design for AFDX networks. +Encoder architecture with throughput over 10 Gbit/sec for quasi-cyclic LDPC codes. +A CNN approach to computing arbitrary Boolean functions. +A low-distortion fourth-order bandpass delta-sigma modulator. +Design of a Massively Parallel Vision Processor based on Multi-SIMD Architecture. +Joint Scheduling and Congestion Control in Mobile Ad-Hoc Networks. +Cat Shit One: the animated series. +Tree-structured linear-phase Nyquist FIR filter interpolators and decimators. +Evita raced: metacompilation for declarative networks. +True Correlated Double Sampling and Comparator Design for Time-based Image Sensors. +Demonstration of the TrajStore System. +Service Capacity of Peer to Peer Networks. +An OAI Approach to Sharing Subject Gateway Content. +On Representing Incomplete Information in a Relational Data Base +Web projections: learning from contextual subgraphs of the web. +Exploring the cloud from passive measurements: The Amazon AWS case. +Multi-Layer Perceptron including glial pulse and switching between learning and non-learning. +Information Systems Design Approach Integrating Data and Transactions +Feline: Fast Elliptical Lines for Anisotropic Texture Mapping. +Memory-efficient scalable video encoder architecture for multi-source digital home environment. +Privacy-Aware Mobile Services over Road Networks. +Synthesis of Polynomial-based Nonlinear Device and Harmonic Shifting Technique for Virtual Bass System. +On the Distributions of the Relative Phase of Complex Wavelet Coefficients. +Network equilibrium of heterogeneous congestion control protocols. +An autostereoscopic display. +Beyond triangles: gigavoxels effects in video games. +"From ""Think Like a Vertex"" to ""Think Like a Graph""." +Deploying Mesh Nodes under Non-Uniform Propagation. +The stereoscopic conversion pipeline for John Carter. +Real-Time Databases (Panel). +Epidemiological Modelling of Peer-to-Peer Viruses and Pollution. +Improving ReduceTask data locality for sequential MapReduce jobs. +Lip synchronization by acoustic inversion. +Understanding user spatial behaviors for location-based recommendations. +A CMOS image sensor with spiking pixels for retinal stimulation. +On the throughput scaling of Cognitive Radio ad hoc networks. +Surface: a film from underneath. +Design of hybrid continuous-time discrete-time delta-sigma modulators. +Symmetry-aware analog layout placement design handling substrate-sharing constraints. +Architecture and algorithms for an IEEE 802.11-based multi-channel wireless mesh network. +Heavenly appeals. +Data Reuse method between Heterogeneous Partitions (DRHP) in H.264/AVC motion compensator. +A highly efficient method for extracting FSMs from flattened gate-level netlist. +An Object-based Approach to Plenoptic Video Processing. +A comparative web browser (CWB) for browsing and comparing web pages. +A framework of spatio-temporal analysis for video surveillance. +Low Fixed Pattern Noise Current-mode Imager Using Velocity Saturated Readout Transistors. +MLC STT-RAM design considering probabilistic and asymmetric MTJ switching. +Localized Statistical 3D Thermal Analysis Considering Electro-Thermal Coupling. +Joint relevance and freshness learning from clickthroughs for news search. +Measuring Availability in the Domain Name System. +Geographical topic discovery and comparison. +A pilot study of cyber security and privacy related behavior and personality traits. +An Energy-efficient Reconfigurable Viterbi Decoder on a Programmable Multiprocessor. +A Lightweight Approach to Semantic Tagging. +Indexing the Earth Mover's Distance Using Normal Distributions. +On the modeling and the stability of continuous-time Sigma-Delta-Modulators. +A high speed IC Random Number Generator based on phase noise in ring oscillators. +TURNUS: A design exploration framework for dataflow system design. +A high speed configurable FPGA architecture for k-mean clustering. +The Internet Dark Matter - on the Missing Links in the AS Connectivity Map. +Empirical Evaluation of Techniques for Measuring Available Bandwidth. +Incremetal Spatio-Temporal Feature Extraction and Retrieval for Large Video Database. +Advanced Peer Clustering and Firework Query Model in the Peer-to-Peer Network. +Novel VLSI implementation of Peano-Hilbert curve address generator. +Feasibility study of FPGA-based equalizer for 112-Gbit/s optical fiber receivers. +Near Optimal Multicriteria Spanner Constructions in Wireless Ad-Hoc Networks. +A Novel Zero Dynamics Design Method and its Application to Hydraulic Turbine Governor. +Adaptive channel equalization: A simplified approach using the quantized-LMF algorithm. +Sybil Attacks Against Mobile Users: Friends and Foes to the Rescue. +Hardness and Approximation of the Survivable Multi-Level Fat Tree Problem. +SnoopyTagging: recommending contextualized tags to increase the quality and quantity of meta-information. +How Bad is Suboptimal Rate Allocation? +Universal embedded compression engine for LCD TV system-on-a-chip with Band-Expansion Progressive Wavelet Coding. +Lightweight Mutual Authentication and Ownership Transfer for RFID Systems. +Poisson AER generator: inter-spike-intervals analysis. +A high resolution and high accuracy R-2R DAC based on ordered element matching. +Features of memristor emulator-based artificial neural synapses. +Designing Information-Preserving Mapping Schemes for XML. +Active bandpass filter using transformer feedback in 0.18-µm CMOS for 802.11a wireless LAN. +Learning to detect phishing emails. +Experimental results on wideband spectrum sensing using random sampling ADC in 90nm CMOS. +Computer graphics achievement award: Przemyslaw Prusinkiewicz. +Hardware implementation of the double-tree scan architecture. +An efficient distributed algorithm for resource allocation in large-scale coupled systems. +A Hall sensor microsystem with continuous gain calibration using fully integrated references. +A skip-list approach for efficiently processing forecasting queries. +Effective modeling of CT functions for fast simulations using MATLAB-Simulink and VHDLAMS applied to Sigma-Delta architectures. +Bargaining towards maximized resource utilization in video streaming datacenters. +Limiting Sybil Attacks in Structured P2P Networks. +On the Impact of Mobility on Multicast Capacity of Wireless Networks. +Location and the web (LocWeb 2008). +Emergence of equilibria from individual strategies in online content diffusion. +Sigma delta ADC with a dynamic reference for accurate temperature and voltage sensing. +Context-aware image semantic extraction in the social web. +Statistical Databases. +Border Games in Cellular Networks. +PLASMA: A new routing paradigm for wireless multihop networks. +Towards Graph Containment Search and Indexing. +Issues in Distributed Database Management Systems: A Technical Overview. +A 20-MS/s sigma delta modulator for 802.11a applications. +VRML: Prelude and Future (Panel). +Super-resolution technique for thermography with dual-camera system. +XBenchMatch: a Benchmark for XML Schema Matching Tools. +Knowledge continuous integration process (K-CIP). +Bare hand interaction in tabletop augmented reality. +"Full-Coverage and k-Connectivity (k=14, 6) Three Dimensional Networks." +Design of a step-up dc-dc converter with on-chip coupled inductors. +Curve-to-curve associations in spline-based inbetweening and sweeping. +Front-end amplifier of low-noise and tunable BW/gain for portable biomedical signal acquisition. +Assessing the Vulnerability of the Fiber Infrastructure to Disasters. +A multiparametric biosensor array for on-chip cell culture with feedback controlled microfluidics. +Predictive Load Control for Flexible Buffer Allocation. +A novel refractometer architecture. +Non-uniform Recursive Subdivision Surfaces. +An Adaptive Hybrid Server Architecture for Client Caching ODBMSs. +Design of robust H Filters for markovian jump systems with time-varying delays and parametric uncertainties. +Novel low complexity lattice filters with overflow property close to the normalized lattice. +Compound noise analysis in digital circuits using blind source separation. +Expertise networks in online communities: structure and algorithms. +Clustering in cooperative networks. +A new WiMAX sigma-delta modulator with constant-Q active inductors. +"A 0.01%THD, 70dB PSRR Single Ended Class D using Variable Hysteresis Control for Headphone Amplifiers." +LOGML - XML Language for Web Usage Mining. +Stack memory design for a low-cost instruction folding Java processor. +Pixel-Level Image Fusion Scheme based on Linear Algebra. +Watermarking in Conjugate Ordered Dither Block Truncation Coding Images. +Scalable IP Lookup for Programmable Routers. +Multi-VPN Optimization for Scalable Routing via Relaying. +Random Sampling from B+ Trees. +Are Web Services the Next Revolution in e-Commerce? (Panel). +The Nonlinear Class-E Amplifier: A Case Study of Harmonic Balance Applied to Switched Circuits. +A Mechanism for Managing the Buffer Pool in a Relational Database System Using the Hot Set Model. +On the Use of Destination Set Grouping to Improve Inter-Receiver Fairness for Multicast ABR Sessions. +Quality Views: Capturing and Exploiting the User Perspective on Data Quality. +A Network Measurement Architecture for Adaptive Applications. +Effective early termination using adaptive search order for frame rate up-conversion. +Two years of short URLs internet measurement: security threats and countermeasures. +Scalable Cycle-Breaking Algorithms for Gigabit Ethernet Backbones. +Opportunistic Routing with Congestion Diversity in Wireless Multi-hop Networks. +A low-voltage low-noise DC-DC flyback converter with delta-sigma modulation. +Experimental validation of a novel adaptive controller for piecewise affine systems. +L-shaped segmentations in motion-compensated prediction of H.264. +IP Fast ReRoute: Lightweight Not-Via without Additional Addresses. +Adapting databases and WebDAV protocol. +A robust PRML read channel with digital timing recovery for multi-format optical disc. +Design considerations and experimental results of continuously-tuned reconfigurable CMOS LNAs. +Complex Network Measurements: Estimating the Relevance of Observed Properties. +On Multi-Column Foreign Key Discovery. +Auditory speech processing for scale-shift covariance and its evaluation in automatic speech recognition. +A scripting language for Digital Content Creation applications. +A Framework for Optimal Battery Management for Wireless Nodes. +Computation of unstable limit cycles in large-scale power system models. +A novel CMOS current mode fully differential tanh (x) implementation. +11 GHz UGBW Op-amp with feed-forward compensation technique. +Capacitor scaling for low-power design of cyclic analog-to-digital converters. +Improved Wideband Blind Adaptive System Identification Using Decorrelation Filters for the Localization of Multiple Speakers. +System Co-Design and Data Management for Flash Devices. +Multimode digital SMPS controller IC for low-power management. +A Dynamic Frame Sizing Algorithm for CICQ Switches with 100% Throughput. +Estimating fluid simulation parameters from videos. +A novel high-speed and low-power negative voltage level shifter for low voltage applications. +Design and analysis of skewed-distribution scan chain partition for improved test data compression. +Per-Flow Queueing by Dynamic Queue Sharing. +IP fast ReRoute: Loop Free Alternates revisited. +FingerSight™: fingertip control and haptic sensing of the visual environment. +Surface reconstruction from point set using projection operator. +"Theory of placement by numDAG related with single-sequence, SP, BSG, and O-tree." +Radialize: a tool for social listening experience on the web based on radio station programs. +"Alhambra: a system for creating, enforcing, and testing browser security policies." +Object-Oriented Database Systems. +Modeling the flow and change of information on the web. +Photon density estimation using multiple importance sampling. +Building Usable Menu-Based Natural Language Interfaces To Databases. +Improved content adaptive update weight control in motion-compensated temporal filtering. +Maximizing Restorable Throughput in MPLS Networks. +A 0.18-µm CMOS Squarer Circuit for a Non-Coherent UWB Receiver. +What's New: Finding Significant Differences in Network Data Streams. +Study of near consensus complex social networks using eigen theory. +Simrank++: query rewriting through link analysis of the clickgraph (poster). +Revenue-maximizing pricing and capacity expansion in a many-users regime. +Post-placement STI well width adjusting by geometric programming for device mobility enhancement in critical path. +On the performance of TPC-based STBC coded MIMO-OFDM system over IMT2000 channels. +Ultrafast Photonic Label Switch for Asynchronous Packets of Variable Length. +Low-complexity decision directed method for carrier frequency offset estimation of IEEE 802.11ad. +NanoProtean: Scalable System Software for a Gigabit Active Router. +A Novel Index Supporting High Volume Data Warehouse Insertion. +Business Process Cockpit. +Peer-to-Peer Similarity Search in Metric Spaces. +Supporting Ontology-Based Semantic matching in RDBMS. +A System Architecture Exploration on the Configurable HW/SW Co-design for H.264 Video Decoder. +Design of Reversible Sequential Elements With Feasibility of Transistor Implementation. +Utility-Driven Spatiotemporal Sampling Using Mobile Sensors. +Live Demonstration: Hardware Platform and Implementation of a Real-time Multi-user MIMO-OFDM Testbed. +Performance analysis of a correlation-based optical flow algorithm under noisy environments. +Scalable spatio-temporal knowledge harvesting. +Efficient Snapshot Differential Algorithms for Data Warehousing. +High Performance Hardware for Database Systems. +Impact of power-law topology on IP-level routing dynamics: Simulation results. +Simple and Efficient k-Coverage Verification without Location Information. +Application of frequency-response masking technique to the design of a novel modified-DFT filter bank. +Computational Interlocking Furniture Assembly +Distributed graph pattern matching. +Orthogonalized Linear Discriminant Analysis based on Modified Generalized Singular Value Decomposition. +Mobility Reduces Uncertainty in MANETs. +Enhanced Subquery Optimizations in Oracle. +Formalization of Database Systems - and a Formal Definition of IMS (Invited Paper). +Model-based matching and hinting of fonts. +Enhanced SAR ADC energy efficiency from the early reset merged capacitor switching algorithm. +Low power binary addition using carry increment adders. +PhotoSketch: a sketch based image query and compositing system. +Intelligent Rollups in Multidimensional OLAP Data. +Reconfigurable clock polarity assignment for peak current reduction of clock-gated circuits. +Building a Lifestyle Recommender System. +Innovations approach to MMSE waterfilling based equalizers. +Important Issues in Distributed Data Base Management Systems. +Automatic colorization of grayscale images using multiple images on the web. +Periscope/SQ: Interactive Exploration of Biological Sequence Databases. +Multi-Terabit/s IP Switching with Guaranteed Service for Streaming Traffic. +Relationship Merging in Schema Integration. +A technique for counting DNSSEC validators. +Channel allocation in non-cooperative multi-radio multi-channel wireless networks. +Resource Allocation for Downlink Statistical Multiuser QoS Provisionings in Cellular Wireless Networks. +Benchmarking Spatial Join Operations with Spatial Output. +Data-Sharing Relationships in the Web. +Area and Time Efficient Cellular Non-linear Networks. +Hardware accelerated rendering of antialiasing using a modified a-buffer algorithm. +Pulse width and position modulation for fully digital audio amplifier. +A power management architecture for fast per-core DVFS in heterogeneous MPSoCs. +End User Access to Very Large Databases in an Automated Office/Workstation Environment. +Two-Stage OTA Design Based on Settling-Time Constraints. +Improved Linear Light Source material reflectance scanning. +A one-layer recurrent neural network for constrained single-ratio linear fractional programming. +Complex Queries over Web Repositories. +Is there life after bit error rate or before? +Towards Semantic Trajectory Data Analysis: A Conceptual and Computational Approach. +Complex Phenomena in SEPIC Converter Based on Sliding Mode Control. +Optimized QPSK modulator for DVB-S applications. +"VIRO: A scalable, robust and namespace independent virtual Id routing for future networks." +Multiobjective optimization for transistor sizing sub-threshold CMOS logic standard cells. +A tunable floating gate CMOS resistor for low-power and low-voltage applications. +Rain removal from dynamic scene based on motion segmentation. +3D stacking for multi-core architectures: From WIDEIO to distributed caches. +Affinity rank: a new scheme for efficient web search. +Coarse irradiance estimation using curvilinear skeleton. +Direction-Preserving Trajectory Simplification. +Throughput-Delay Tradeoffs in Large-Scale MANETs with Network Coding. +Towards more Informative User Interfaces. +A Normal Form for Abstract Syntax. +Multi-resolution depth-of-field rendering. +WaveCluster: A Multi-Resolution Clustering Approach for Very Large Spatial Databases. +A CMOS image sensor with focal plane SPIHT image compression. +GoGetIt!: a tool for generating structure-driven web crawlers. +Subthreshold Leakage Reduction: A Comparative Study of SCL and CMOS Design. +A baseball exploration system using spatial pattern recognition. +Front Matter. +Visual Web Information Extraction with Lixto. +Data-driven Color Manifolds +SC filter for RF down conversion with wideband image rejection. +Spotter: A model based active geolocation service. +Linking content in unstructured sources. +Current mode multiple-valued adder for cryptography processors. +A new construction algorithm of visual crytography for gray level images. +A 5.3GHz low-phase-noise LC VCO with harmonic filtering resistor. +Fast dynamic reranking in large graphs. +45-nm Planar bulk-CMOS 23-GHz LNAs with high-Q above-IC inductors. +Energy Efficient Scheduling with Individual Packet Delay Constraints. +Two birds with one stone: a graph-based framework for disambiguating and tagging people names in web search. +Cost-efficient decimal adder design in Quantum-dot cellular automata. +Power-Controlled Data Prefetching/Caching in Wireless Packet Networks. +"Composite Events for Active Databases: Semantics, Contexts and Detection." +Factal: integrating deep web based on trust and relevance. +Modeling and predicting behavioral dynamics on the web. +Modeling dynamic stability of SRAMS in the presence of single event upsets (SEUs). +Optimizing Nested Queries with Parameter Sort Orders. +SQL QueRIE Recommendations. +The emerging JPEG-2000 security (JPSEC) standard. +SlideOR: Online Opportunistic Network Coding in Wireless Mesh Networks. +Demonstration of latency reduction in electrical interconnections using optical fanout. +Distributed Power Control for Cognitive User Access based on Primary Link Control Feedback. +User-generated metadata in audio-visual collections. +Network utilization: The flow view. +GPU-based audio via the VGA port. +Digitally-controlled RF passive attenuator in 65 nm CMOS for mobile TV tuner ICs. +Energy-Efficient Interference-Based Routing for Multi-Hop Wireless Networks. +Illuminating the Dark Side of Web Services. +Adaptive Data Structures for IP Lookups. +An improved read/write scheme for anchorless NEMS-CMOS non-volatile memory. +A Survey of Tensor Methods. +Optimization Based Rate Control for Multicast with Network Coding. +Teaching animation in computer science. +Compressing and searching XML data via two zips. +Exploring the trade-off between label size and stack depth in MPLS Routing. +High quality previewing of shading and lighting for Killzone3. +Design methodology of multistage time-domain logic speculation circuits. +Non-uniform random membership management in peer-to-peer networks. +Reduced-size ultra-wideband true-time-delay beam-forming receivers. +Surface motion graphs for character animation from 3D video. +Efficient Processing of k Nearest Neighbor Joins using MapReduce. +The Entity Join. +Modeling/predicting the evolution trend of osn-based applications. +Analyzing web page headings considering various presentation. +An Autonomic Intrusion Detection System Based on Behavioral Network Engineering. +Finite switching frequency effects in the sliding mode control of the double integrator system. +High-Dimensional OLAP: A Minimal Cubing Approach. +ECG Cancellation for Surface Electromyography Measurement Using Independent Component Analysis. +Extended counting ADC for 32-channel neural recording headstage for small animals. +Resource control for loss-sensitive traffic in CDMA networks. +DF-DICE: a scalable solution for soft error tolerant circuit design. +Co-browsing dynamic web pages. +"A rail to rail, slew-boosted pre-charge buffer." +Structural analysis of Petri nets with batch processing arcs. +An uncoded BER comparison between DFE-SCCP and OFDM using a convex analysis framework. +Routing Fairness in Chord: Analysis and Enhancement. +Combining classification with clustering for web person disambiguation. +Distilling Superior Peers in Large-Scale P2P Streaming Systems. +Early termination schemes for fast intra mode decision in High Efficiency Video Coding. +An improved SAR controller for DLL applications. +"Full-text search in email archives using social evaluation, attached and linked resources." +A single-chip audio system with delta-sigma DAC and class-D amplifier. +Low-voltage self-oscillating class E electronic ballast for fluorescent lamps. +Robust wide range of supply-voltage operation using continuous adaptive size-ratio gates. +ZoomRDF: semantic fisheye zooming on RDF data. +Architecture and Abstractions for Environment and Traffic Aware System-Level Coordination of Wireless Networks: The Downlink Case. +GalaTex: a conformant implementation of the XQuery full-text language. +Fast mode selection to reduce the encoding complexity of H.264/AVC. +A Pulse-based Amplifier and Data Converter for Bio-potentials. +Efficient multicasting approaches using collection-distribution networks. +On The Satisfiability of Dependency Constraints in Entity-Relationship Schemata. +Per-survivor processing Viterbi decoder for Bluetooth applications. +Joint Congestion Control and OFDMA Scheduling for Hybrid Wireline-Wireless Networks. +A SMIL-based Real-Time Interactive Sharing System for Distance Learning. +Mode dependent deblocking filter for video coding. +Mapping channel estimation and MIMO detection in LTE-advanced on a reconfigurable cell array. +"Building Structured Web Community Portals: A Top-Down, Compositional, and Incremental Approach." +Efficiently Linking Text Documents with Relevant Structured Information. +APT: Accurate outdoor pedestrian tracking with smartphones. +A Novel Low Power Hybrid Loop Filter for Continuous-time Sigma-delta Modulators. +Visualizing the Internet: putting the user in the driver's seat (panel session). +Towards Automated Performance Tuning for Complex Workloads. +A 10 Gb/s optical receiver in 0.25 µm silicon-on-sapphire CMOS. +An Integrated Switching Power Converter with a Hybrid Pulse-Train/PWM Control. +Oracle8i Index-Organized Table and Its Application to New Domains. +On the Timing Uncertainty in Delay-Line-based Time Measurement Applications Targeting FPGAs. +Data Sharing Analysis for a Database Programming Lanaguage via Abstract Interpretation. +Ranking the web frontier. +Incremental Bloom Filters. +A Fully Programmable Analog Window Comparator. +Answering order-based queries over XML data. +Stochastic Ordering for Internet Congestion Control and its Applications. +Deployment optimization of sensornet-based stochastic location-detection systems. +High level spectral-based analysis of power consumption in DSPs systems. +Development of a Multimedia Information System for an Office Environment. +Towards intent-driven bidterm suggestion. +1.5-V 900-µW 40-dB CMOS variable gain amplifier. +Scheduling data collection with dynamic traffic patterns in wireless sensor networks. +Leo: a system for cost effective 3D shaded graphics. +Sonic: night of the werehog. +Behavioral macromodeling of analog LSI implementation for automobile intake system. +Limit Cycle Control and Its Application to the Animation of Balancing and Walking. +Special habitation. +Maximizing lifetime for the shortest path aggregation tree in wireless sensor networks. +Robust web content extraction. +Fighting Spam with the NeighborhoodWatch DHT. +Repeating History Beyond ARIES. +Understanding user's query intent with wikipedia. +The SphereSearch Engine for Unified Ranked Retrieval of Heterogeneous XML and Web Documents. +Error-bounded antialiased rendering of complex environments. +Agnostic topology-based spam avoidance in large-scale web crawls. +A New Output-Sensitive Algorithm to Detect and Resolve Conflicts in Internet Router Tables. +"Composable, Scalable, and Accurate Weight Summarization of Unaggregated Data Sets." +Dishes. +Argument Reduction by Factoring. +Threshold Key-Establishment in Distributed Sensor Networks Using a Multivariate Scheme. +An axon emulator for evaluation of nerve recording systems. +RoadRunner: Towards Automatic Data Extraction from Large Web Sites. +A novel method to detect bad data injection attack in smart grid. +Log-likelihood ratio algorithm for rate compatible modulation. +The Impact of New Technologies on the Architecture of Secondary Storage and Large Data Bases. +Cross-Layer Survivability in WDM-Based Networks. +ATLAS: An adaptively formed hierarchical cell library based analog synthesis framework. +Towards second and third generation web-based multimedia. +New Recursive Adaptive Beamforming Algorithms for Uniform Concentric Spherical Arrays with Frequency Invariant Characteristics. +A Routing Scheme for Content-Based Networking. +A codesign synthesis from an MPEG-4 decoder dataflow description. +Direct control on modulation spectrum for noise-robust speech recognition and spectral subtraction. +Amplitude modulation based on time-varying forced function of second-order oscillator circuit. +EagleTree: Exploring the Design Space of SSD-Based Algorithms. +Towards practical genre classification of web documents. +Office voodoo: a real-time editing engine for an algorithmic sitcom. +A Relational Database View Update Translation Mechanism. +Design of process variation tolerant radio frequency low noise amplifier. +"Can we get there from here? Current challenges in cloth design, modeling and animation (panel)." +MDVA: A Distance-Vector Multipath Routing Protocol. +Chaotic Maps as Parsimonious Bit Error Models of Wireless Channels. +Variability-Aware Synthesis for Wideband Low Noise Amplifiers. +A measurement study of Internet bottlenecks. +A novel LNA-mixer design with on-chip balun. +Modeling relationship strength in online social networks. +KAGEO. +On Graph Query Optimization in Large Networks. +Senbazuru: A Prototype Spreadsheet Database Management System. +Runtime implementation of modular radiance transfer. +A delay generation technique for fast-locking frequency synthesizers. +Efficient Data Transfer Techniques and VLSI architecture for DWT-Block Coder Integration of JPEG2000 Encoder. +Shadow Prices vs. Vickrey Prices in Multipath Routing. +On-the-fly Progress Detection in Iterative Stream Queries. +Algorithms and the artist (panel session). +Sheaf on sheet: a concept of tangible interface for browsing on a flexible e-paper. +Can the Elephants Handle the NoSQL Onslaught? +Iterative Training Of Dynamic Skills Inspired By Human Coaching Techniques +A Markov chain model for coarse timescale channel variation in an 802.16e wireless network. +A ΔΣ ADC for low power sensor applications. +TP-CRAHN: a Transport Protocol for Cognitive Radio Ad-Hoc Networks. +Extended free-form deformation: a sculpturing tool for 3D geometric modeling. +A Tractable and Accurate Cross-Layer Model for Multi-Hop MIMO Networks. +Packet Pacing in Short Buffer Optical Packet Switched Networks. +3D facial animation from high speed video. +Synchronization of multihop ad hoc networks using connected dominating sets. +"Distributed rate allocation for inelastic flows: optimization frameworks, optimality conditions, and optimal algorithms." +"3D graphics through the Internet - a ""shoot-out"" (panel session)." +Programmable voltage-to-current converter with linear voltage control resistor. +A novel method to count the red blood cells in thin blood films. +Interactive Multiresolution Surface Viewing. +Entire topography of lunar surface. +Letter from the VLDB2011 General PC Co-Chair. +D-Scan: Enabling Fast and Smooth Handoffs in AP-Dense 802.11 Wireless Networks. +Light field copy machine. +Data Structures for an Integrated Data Base Management and Information Retrieval System. +Factorizing personalized Markov chains for next-basket recommendation. +High Efficiency Architecture of ESCOT with Word-Level Pass Concurrent Context Modeling Scheme for SVC. +Movement-Assisted Sensor Deployment. +Achieving High Output Quality under Limited Resources through Structure-based Spilling in XML Streams. +Challenges and Experience in Prototyping a Multi-Modal Stream Analytic and Monitoring Application on System S. +Impacts of NBTI and PBTI on Power-gated SRAM with High-k Metal-gate Devices. +Xplus: A SQL-Tuning-Aware Query Optimizer. +Ad-Hoc Localization Using Ranging and Sectoring. +Quotient Cube: How to Summarize the Semantics of a Data Cube. +Bit-depth expansion by adaptive filter. +Document Classification using Nonnegative Matrix Factorization and Underapproximation. +Green-i: an interactive reusable brochure paper for eco-touring. +Thumbs-up: a game for playing to rank search results. +Automated interior design from A to Z. +State-Dependent Proportional Fair Scheduling Algorithms for Wireless Forward Link Data Services. +A Parallel Architecture for Hermitian Decoders: Satisfying Resource and Throughput Constraints. +A hexagonal Field Programmable Analog Array consisting of 55 digitally tunable OTAs. +Extracting news-related queries from web query log. +Parametric Query Optimization for Linear and Piecewise Linear Cost Functions. +The VolumePro Real-Time Ray-Casting System. +Cross-Layer Quality of Service Support for UWB Wireless Multimedia Sensor Networks. +A privacy-preserving social-assisted mobile content dissemination scheme in DTNs. +Operation of class DE amplifier outside optimum condition. +Higher order convergent algorithms with applications to polynomials and matrices. +VBS: Maximum Lifetime Sleep Scheduling for Wireless Sensor Networks Using Virtual Backbones. +A 372 ps 64-bit adder using fast pull-up logic in 0.18µm CMOS. +On the efficiency of collaborative caching in ISP-aware P2P networks. +Fully automatic wrapper generation for search engines. +Computer-generated pen-and-ink illustration of trees. +Voltage-mode quaternary FPGAs: An evaluation of interconnections. +"Direct, spatial, and dexterous interaction with see-through 3D desktop." +netBody. +"Towards a transparent, flexible, scalable, and disposable image sensor." +SQLB: A Query Allocation Framework for Autonomous Consumers and Providers. +Browsing fatigue in handhelds: semantic bookmarking spells relief. +Architectural Issues in Distributed Data Base Systems. +Evaluating a new approach to strong web cache consistency with snapshots of collected content. +Toward a Design Methodology for DBMS: A Software Engineering Approach. +File diffusion in a dynamic peer-to-peer network. +Web video topic discovery and tracking via bipartite graph reinforcement model. +Standardization and the Relational Approach to Databases: An ANSI Task Group Status Report. +Impact of a sleep schedule on the AODV convergence time in WSNs. +Harnessing Internet topological stability in Thorup-Zwick compact routing. +Dynamic Control of Coding in Delay Tolerant Networks. +A micropower comparator for high power-efficiency hearing aid class D amplifiers. +High-performance spatial indexing for location-based services. +The Buddy-Tree: An Efficient and Robust Access Method for Spatial Data Base Systems. +On the Wavelength Assignment Problem in Multifiber WDM Star and Ring Networks. +A new algorithm for compressive sensing based on total-variation norm. +Talisman: Commodity Realtime 3D Graphics for the PC. +"14-bit DR, 20 kHz BW, 2-2 MASH SI-Σ modulator using low-distortion feedforward topology." +Device Neutral Pipelined Processing of XML Documents. +The squash-and-stretch filter for character animation. +Compass tilt compensation algorithm using CORDIC. +A Low Power Current Reused Quadrature VCO for Biomedical Applications. +Smoothing the energy consumption: Peak demand reduction in smart grid. +Extracting key terms from noisy and multitheme documents. +A Multimedia Office Filing System. +Improving energy efficiency of functional units by exploiting their data-dependent latency. +Flexible Database Generators. +Dimensioning an OBS Switch with Partial Wavelength Conversion and Fiber Delay Lines via a Mean Field Model. +Item-based collaborative filtering recommendation algorithms. +On superposition of heterogeneous edge processes in dynamic random graphs. +A Semantic Model for Data Base Protection Languages. +Deformable curve and surface finite-elements for free-form shape design. +View Invalidation for Dynamic Content Caching in Multitiered Architectures. +Localized minimum-energy broadcasting in ad-hoc networks. +Probabilistic End-to-End Delay Bounds for Earliest Deadline First Scheduling. +Optimizing Queries on Compressed Bitmaps. +"Randomizing, A Practical Method for Protecting Statistical Databases Against Compromise." +A 65nm 10GHz pipelined MAC structure. +Measurement-Based Multipath Multicast. +Demand-driven Service Differentiation in Cluster-based Network Servers. +Towards omnidirectional passive human detection. +Digital Filter Design Optimization using Partial Cost Functions. +Rank aggregation methods for the Web. +Broadband capacitive sensor CMOS interface circuit for dielectric spectroscopy. +High-speed differential resistor ladder for A/D converters. +A generic framework for throughput-optimal control in MR-MC wireless networks. +Overhearing-aware Joint Routing and Rate Selection in Multi-hop Multi-rate UWB-based WPANs. +Algorithmic Solution to Second-order Fluid Flow. +Simulating massive dust in Megamind. +Upgrading relational legacy data to the semantic web. +Segmenting specific object based on logo detection. +Modeling and verification of high-speed wired links with Verilog-AMS. +Fast global motion estimation based on iteration least-square estimation with sustained symmetrical structure. +Low-power and low-latency cluster topology for local traffic NoCs. +Understanding Web Searching & Navigation Patterns. +GraphDB: Modeling and Querying Graphs in Databases. +Data dissemination bounds in people-centric systems. +Fast low power translation lookaside buffers using hierarchical NAND match lines. +Scalable Progressive Analytics on Big Data in the Cloud. +Purely URL-based topic classification. +HyperANF: approximating the neighbourhood function of very large graphs on a budget. +The use of XML to express a historical knowledge base. +Improving understanding of website privacy policies with fine-grained policy anchors. +Dependency isolation for thread-based multi-tier Internet services. +A comparison of case-based reasoning approaches. +Engineering Semantic Web Information Systems in Hera. +Main-Memory Operation Buffering for Efficient R-Tree Update. +A New High-Speed Class-AB Current-Mode Circuit. +"Design, implementation, and evaluation of a client characterization driven web server." +Experiences of Technology Enhanced Learning: What Went Wrong? +A survey of public web services. +Understanding Sub-stream Scheduling in P2P Hybrid Live Streaming Systems. +Threshold selection for web-page classification with highly skewed class distribution. +Modelling Non Deterministic Queries and Updates in Deductive Databases. +Proportionate affine projection algorithms from a basis pursuit perspective. +Design galleries: a general approach to setting parameters for computer graphics and animation. +Video noise reduction in the wavelet domain using temporal decorrelation and adaptive thresholding. +How to split a flow? +Reliable Routing with QoS Guarantees for Multi-Domain IP/MPLS Networks. +Static and Dynamic Analysis of the Internet's Susceptibility to Faults and Attacks. +Certified Electronic Mail Protocol Resistant to a Minority of Malicious Third Parties. +An Efficient Identification Algorithm for FIR Filtering with Noisy Data. +"Low-cost, low-power and high-throughput BCH decoder for NAND Flash Memory." +Information propagation speed in bidirectional vehicular delay tolerant networks. +Milgram-routing in social networks. +Subdivision Schemes for Fluid Flow. +Efficiently Compiling Efficient Query Plans for Modern Hardware. +RDCM: Reliable data center multicast. +Dehazing using Color-Lines +On the scaling laws of Multi-modal Wireless Sensor Networks. +Flexible Query Processor on FPGAs. +On the Age of Pseudonyms in Mobile Ad Hoc Networks. +A statistical approach for target counting in sensor-based surveillance systems. +Adaptive projected subgradient method and its applications to robust signal processing. +True 3D display. +Minimizing data collection latency in wireless sensor network with multiple mobile elements. +A framework for fast design space exploration using fuzzy search for VLSI computing Architectures. +Computing semantic relatedness from human navigational paths on Wikipedia. +Letter from the VLDB 2011 Proceedings Chair. +An area-efficient shuffling scheme for AES implementation on FPGA. +CachePortal II: Acceleration of Very Large Scale Data Center-Hosted Database-driven Web Applications. +Keyframe control of cumulus cloud simulation. +Safe Referential Structures in Relational Databases. +A novel analytical model for electronic and optical switches with shared buffer. +The language observatory project (LOP). +On automated composition for web services. +A Data Modeling Approach to Simplify the Design of User Interfaces. +A motion compensation system with a high efficiency reference frame pre-fetch scheme for QFHD H.264/AVC decoding. +Effective animation of sign language with prosodic elements for annotation of digital educational content. +Toward P2P-based multimedia sharing in user generated contents. +Live 3D video in soccer stadium. +Double-sampled cascaded sigma-delta modulator topologies for low oversampling ratios. +Point-Casting Service in Wireless Networks. +Impact of battery degradation on optimal management policies of harvesting-based wireless sensor devices. +An adaptive bandwidth reduction scheme for video coding. +Hardware-efficient parallel FIR digital filter structures for symmetric convolutions. +Location Discovery Using Data-Driven Statistical Error Modeling. +Evaluating Mobility Pattern Space Routing for DTNs. +An On-demand QoS Routing Protocol for Mobile Ad Hoc Networks. +Dynamic Guard Bandwidth Scheme for Wireless Broadband Networks. +From user-centric web traffic data to usage data. +Resource Aware Middleware Services Over MANETs. +60 GHz meta-material wideband antenna for FPGA Giga bit data transmission. +Fast PDA Synchronization Using Characteristic Polynomial Interpolation. +Least squares-based lossless image coding with edge-look-ahead. +A 30GHz 155Mbit/s self-calibrating direct transmitter. +Design of 4 × 4 MIMO-OFDMA receiver with precode codebook search for 3GPP-LTE. +Statistics on Views. +Hardware-efficient VLSI implementation for 3-parallel linear-phase FIR digital filter of odd length. +nioi café: olfactory display system with visual feedback. +TwitterEcho: a distributed focused crawler to support open research with twitter data. +Geo/Environmental and Medical Data Management in the RasDaMan System. +P-TAG: large scale automatic generation of personalized annotation tags for the web. +Recognizing Exponential Inter-Contact Time in VANETs. +"A 3.7mW, 1.6V CMOS Analog Adaptive Equalizer for a 125Mbps Wire-Line Transceiver." +PCCD: parallel continuous collision detection. +Maintaining Approximate Minimum Steiner Tree and k-center for Mobile Agents in a Sensor Network. +Speech and multimodal interaction in mobile search. +A Low-Noise Preamplifier with Adjustable Gain and Bandwidth for Biopotential Recording Applications. +Optimal ISP subscription for Internet multihoming: algorithm design and implication analysis. +A flexible dialogue system for enhancing web usability. +Characterizing end-host application performance across multiple networking environments. +Simulating painted appearance of BTF materials. +Telenoid: tele-presence android for communication. +Augmenting semantic web service descriptions with compositional specification. +Retrieval of motion capture data based on short-term feature extraction. +Pricing strategies for user-provided connectivity services. +Simple and Realistic Data Generation. +Topology identification of an uncertain general complex dynamical network. +BiToS: Enhancing BitTorrent for Supporting Streaming Applications. +Toward a psychophysically-based light reflection model for image synthesis. +Implementation Strategies for the Census Data Base. +Birds-eye view ray scan system for flatbed autostereoscopic displays. +Understanding Insights into the Basic Structure and Essential Issues of Table Placement Methods in Clusters. +A continuous-time band-pass Sigma Delta modulator implemented in 0.35µm BiCMOS using transmission lines. +Extendable point-to-multi-point protocol processor for 10G-EPON MAC SoCs. +Egress Admission Control. +Design of IIR allpass fractional-delay fractional Hilbert transformer using complex cepstrum. +A High Performance Linear Current Mode Image Sensor. +Structured Materialized Views for XML Queries. +Load Balancing in Large-Scale RFID Systems. +Big Data and Cloud Computing: New Wine or just New Bottles?. +Real time operating system modeling in a system level design environment. +An efficient blind fine synchronization scheme for SCBT systems. +Efficient search engine measurements. +Gate-level dual-threshold static power optimization methodology (GDSPOM) for designing high-speed low-power SOC applications using 90nm MTCMOS technology. +Non-Delaunay hierarchical mesh-based motion estimation and compensation for Wavelet Video coding. +Step-response Optimization Techniques for Low-power Three-stage Operational Amplifiers for Large Capacitive Load Applications. +Constructing multi-granular and topic-focused web site maps. +A Real-time E-Marketplace System for Advertising Opportunities on Datacasting. +Robust Distributed Top-N Frequent Pattern Mining Using the SAP BW Accelerator. +Improving static and dynamic registration in an optical see-through HMD. +A publish and subscribe collaboration architecture for web-based information. +RapidRAID: Pipelined erasure codes for fast data archival in distributed storage systems. +The LEBONED Metadata Architecture. +Eclipse Attacks on Overlay Networks: Threats and Defenses. +Sparse linear methods with side information for Top-N recommendations. +A comparative study of two network-based anomaly detection methods. +Integrated Heterogenous Modelling for Power Estimation of Single Processor based Reconfigurable SoC Platform. +A novel truncated squarer with linear compensation function. +Request-Aware Scheduling for Busy Internet Services. +Handling network uncertainty in heterogeneous wireless networks. +Binary Increase Congestion Control (BIC) for Fast Long-Distance Networks. +Are search engine users equally reliable? +Pipelined FPGA design of the Goertzel algorithm for exon prediction. +Smart Miner: a new framework for mining large scale web usage data. +"Comments on the Paper ""Data Base Design in Theory and Practice""." +Efficient Recovery of Missing Events. +TurboSync: Clock synchronization for shared media networks via principal component analysis with missing data. +Speccast. +The Impact of Virtual Views on Containment. +Quantifying the Importance of Vantage Points Distribution in Internet Topology Measurements. +Energy optimal control for time varying wireless networks. +Floorplan-aware hierarchical NoC topology with GALS interfaces. +Power dynamics in spoken interactions: a case study on 2012 republican primary debates. +Audio Watermarking Based on Statistical Feature in Wavelet Domain. +"A straightforward approach of Automatic Parking System - ""Training-Recording-Play back""." +"Mining, indexing, and searching for textual chemical molecule information on the web." +POIKILO: A Tool for Evaluating the Results of Diversification Models and Algorithms. +Bitlist: New Full-text Index for Low Space Cost and Efficient Keyword Search. +MOVE CLICK MOVE: creating an animation DVD. +Database Management in the Year 2000: Projections and Star Gazing (Panel). +Dynamic ambient occlusion from volumetric proxies. +Location privacy protection from RSS localization system using antenna pattern synthesis. +Global Clock Synchronization in Sensor Networks. +Exploring in the weblog space by detecting informative and affective articles. +A novel sigma-delta fractional-N synthesizer architecture with fractional spur and quantization noise cancellation. +New LC oscillator topology in CMOS 0.18µm technology. +gModeler.com. +Love_Child. +Augmented reality for air traffic control towers. +Heavy tailed M/G/1-PS queues with impatience and admission control in packet networks. +Orthonormal eigenvectors of the DFT-IV matrix by the eigenanalysis of a nearly tridiagonal matrix. +EvalIris - A Web Service for Web Accessibility Evaluation. +Data gathering for a culture specific approach in MIR. +Automatically generating labels based on unified click model. +Design and Implementation of Semantic Web Applications. +A signal perturbation free semi-blind MRT MIMO channel estimation approach. +An approach for joint blind space-time equalization and DOA estimation. +High level specification of embedded listeners for monitoring of Network-on-Chips. +New developments in color image tampering detection. +On the three-dimensional orthogonal drawing of series-parallel graphs (extended abstract). +Program Analysis for Conversion from a Navigation to a Specification Database Interface. +Efficient multidimensional sampling scheme for Fourier transform estimation. +An enhanced switching policy for buck-derived multi-level switching power amplifiers. +The linked data platform (LDP). +A Decade of Progress in Indexing and Mining Large Time Series Databases. +"A low-power, high-speed RB-to-NB converter for fast redundant binary multiplier." +CASL hypervisor and its virtualization platform. +Using Referential Integrity To Easily Define Consistent Subset Replicas. +A precedence effect based far-field DoA estimation algorithm. +Providing Database Migration Tools - A Practicioner's Approach. +Tom n Jerry. +Light field mapping: efficient representation and hardware rendering of surface light fields. +Reconfigurable Clock Distribution Circuitry. +To preempt or not: Tackling bid and time-based cheating in online spectrum auctions. +Can I find what I'm looking for? +On two-directional orthogonal ray graphs. +Cross-lingual web spam classification. +Frequency-response Masking based Filter Bank for QRS Dection in Wearable Biomedical Devices. +Russian web spam evolution: yandex experience. +MapInfo SpatialWare: A Spatial Information Server for RDBMS. +Content-aware write reduction mechanism of phase-change RAM based Frame Store in H.264 Video codec system. +A Game-Theoretic Analysis of QoS in Wireless MAC. +PVT-invariant single-to-differential data converter with minimum skew and duty-ratio distortion. +SoCo: a social network aided context-aware recommender system. +Edge-preserving self-healing: Keeping network backbones densely connected. +"PULSE, a Flexible P2P Live Streaming System." +The Speech Recogniton System based on Structure Equivalent Fuzzy RBF Neural Network. +Detecting soft errors by redirection classification. +Information cascades in social media in response to a crisis: a preliminary model and a case study. +PIQL: Success-Tolerant Query Processing in the Cloud. +Is random walk truly memoryless - Traffic analysis and source location privacy under random walks. +Locking Protocols for Materialized Aggregate Join Views. +Velocity saturation current-mode CMOS imaging sensor. +Pointshop 3D: an interactive system for point-based surface editing. +GPU-enabled parallel processing for image halftoning applications. +Unified Quadratic Programming Approach For 3-D Mixed Mode Placement. +A 14V-output adaptive-off-time boost converter with quasi-fixed-frequency in full loading range. +A 1-V 100-dB dynamic range 24.4-kHz bandwidth delta-sigma modulator. +Algorithms for generation of quaternary fixed polarity arithmetic spectra. +Visual simulation of bleeding on skin surface. +The Use of Information Capacity in Schema Integration and Translation. +An Automated Algorithm to Generate Stream Programs. +Skeleton-based cartoon hair modeling using blobby model. +r-Kernel: An operating system foundation for highly reliable networked embedded systems. +"MusicSpace: you ""play"" the music." +A multibit continuous time sigma delta modulator with successive-approximation quantizer. +On the Queueing Analysis of Dispersed Periodic Messages. +Implementation of a motion sickness evaluation system based on EEG spectrum analysis. +Differentiated bandwidth sharing with disparate flow sizes. +10Gbit/s 2mW inductorless transimpedance amplifier. +Revisiting the k-means algorithm for fast trajectory segmentation. +Entity Resolution with Evolving Rules. +"A 7.5mW, 11-bit continuous-time sigma-delta A/D converter for WLAN applications." +Gossip based streaming. +Query Languages and Data Models for Database Sequences and Data Streams. +International workshop on question answering on the web (QAWeb2008). +Minimum camera barrier coverage in wireless camera sensor networks. +Beamforming MIMO Receiver with Reduced Hardware Complexity. +Private Analysis of Graph Structure. +"A Fourth-order, Audio-bandwidth, 87.5-dB SNDR SigmaDelta Modulator for MEMS Microphones." +Secret communication in large wireless networks without eavesdropper location information. +Visualization of Geo-annotated pictures in mobile phones. +An admission control scheme for predictable server response time for web accesses. +Generating XML structure using examples and constraints. +Taming user-generated content in mobile networks via Drop Zones. +Ubiquitous data collection for mobile users in wireless sensor networks. +A Precompensation Algorithm for PWM-Based Digital Audio Amplifiers for Portable Applications. +Hybrid image interpolation with soft-decision kernel regression. +Scalable Modulation for Scalable Wireless Videocast. +Composing better pictures in MDC: A multi-target total variational approach. +An effective class-centroid-based dimension reduction method for text classification. +Ad-hoc ride sharing application using continuous SPARQL queries. +Static force measurement by piezoelectric sensors. +On ray tracing parametric surfaces. +Data Models for Secondary Storage Representations. +Maximizing the Contact Opportunity for Vehicular Internet Access. +Interactive exploratory search for multi page search results. +A double-data rate (DDR) processing-in-memory (PIM) device with wideword floating-point capability. +Mapping Moving Landscapes by Mining Mountains of Logs: Novel Techniques for Dependency Model Generation. +VoiKiosk: increasing reachability of kiosks in developing regions. +State-of-the-art and future directions of high-performance all-digital frequency synthesis in nanometer CMOS. +Reproducing Color Images Using Custom Inks. +Multi-color and Artistic Dithering. +A Proposed AVS Decoder Configuration in the Reconfigurable Video Coding Framework. +Extracting semantic structure of web documents using content and visual information. +Economics of BitTorrent communities. +Lossless Video Compression with Residual Image prediction and Coding (RIPC). +A full-differential analog design of an indirect inverse control law based on neural networks. +Cache Conscious Algorithms for Relational Query Processing. +Constructing extensible XQuery mappings. +A 40nm 1.0Mb pipeline 6T SRAM with variation-tolerant Step-Up Word-Line and Adaptive Data-Aware Write-Assist. +On-line Lossless Mocap Data Compression. +Configurable and ad hoc display for clothes. +Verifying Computations with Streaming Interactive Proofs. +"A 13-bit, low-power, compact ADC suitable for sensor applications." +PageRank as a function of the damping factor. +TextToSpeech: a Heavy-weight Edge Service. +Low-power LDPC decoding based on iteration prediction. +BPB: A Novel Approach for Obtaining Network Path Characteristics in Non-Cooperative Environments. +Rethinking Fourier's legacy in signals and systems education. +A 2.4µW Wake-up Receiver for wireless sensor nodes with -71dBm sensitivity. +An eigenvalue formulation for determining initial conditions of induction machines in dynamic power system simulations. +Virtual Backbone Generation and Maintenance in Ad Hoc Network Mobility Management. +XVR: X visiting-pattern routing for sensor networks. +Toward Practical Constraint Databases. +Generating hypotheses from the web. +Identifying mobiles hiding behind wireless routers. +Demonstration of the FDB Query Engine for Factorised Databases. +DF or IDF? On the Use of HTML Primary Feature Fields for Web IR. +Slicing Long-Running Queries. +CacheMakers : A Co-operative DNS Caching Service. +Integrated low voltage and low power CMOS circuits for optical sensing of diffraction based micromachined microphone. +High-bandwidth power-scalable 10-bit pipelined ADC using bandwidth-reconfigurable operational amplifier. +Estimating Hop Distance Between Arbitrary Host Pairs. +Tile-based GPU optimizations through ESL full system simulation. +A data-driven sketch of Wikipedia editors. +"Throughput, Delay, and Mobility in Wireless Ad Hoc Networks." +A New Class-based Early Termination Method for Fast Motion Estimation in Video Coding. +Object segmentation from wide baseline video. +Information Processing for CAD/VLSI on a Generalized Data Management System. +Energy efficient broadcast in multiradio multichannel wireless networks. +A smart wireless glove for gesture interaction. +Analyzing and predicting viral tweets. +Efficient bump mapping hardware. +Exploratory search in multi-domain information spaces with liquid query. +A Capacitor-free CMOS Low-dropout Voltage Regulator. +Using Compact GML to Deploy Interactive Maps on Mobile. +Analog complex gammatone filter for cochlear implant channels. +Interference analysis on Resonant Inductive Coupled Wireless Power Transfer links. +A detection method of nasalised vowels based on an acoustic parameter derived from phase spectrum. +Efficient multi-view maintenance in the social semantic web. +On Semantic Issues Connected with Incomplete Information Data Bases (Abstract). +Features of a Conceptual Schema. +Specifying and Enforcing Intertask Dependencies. +VLSI decoding architecture with improved convergence speed and reduced decoding latency for irregular LDPC codes in WiMAX. +Detecting the origin of text segments efficiently. +Delay Tolerant Event Collection in Sensor Networks with Mobile Sink. +Active noise cancellation of motion artifacts in pulse oximetry using isobestic wavelength light source. +User-Centered Modeling of Interactive Web Sites. +Automatic matchmaking of web services. +Structural analysis of the emerging event-web. +When Speed Has a Price: Fast Information Extraction Using Approximate Algorithms. +Capturing Global Transactions from Multiple Recovery Log Files in a Partitioned Database System. +TELEIOS: A Database-Powered Virtual Earth Observatory. +Analysis and design of a loss-free resistor based on a boost converter in PWM operation. +Deformation transfer based on stretchiness ratio. +How to make web sites talk together: web service solution. +Trapping region for the double scroll attractor. +METEOR: metadata and instance extraction from object referral lists on the web. +Program notes. +An Improved method for the design of variable fractional-delay IIR digital filters. +Failure Control in Multipath Route Tracing. +On the degree of MIMO systems. +On the level. +"A hybrid approach for spotting, disambiguating and annotating places in user-generated text." +How Best to Build Web-Scale Data Managers? A Panel Discussion. +Hybrid CMOS/memristor circuits. +"Home grown CGI: the cultivation of ""Henry's Garden""." +What you see is what you search: adaptive visual search framework for the web. +A Switched Capacitor Implementation of the Generalized Linear Integrate-and-fire Neuron. +ViBE: virtual biology experiments. +A new QR-decomposition based recursive frequency estimator for multiple sinusoids in impulsive noise environment. +CNN Implementation of Spin Filters for Electronic Speckle Pattern Interferometry Applications. +FaceKit: A Database Interface Design Toolkit. +On the trade-off between the number of scrolls and the operating frequency of the chaotic attractors. +Tracing Ray Differentials. \ No newline at end of file