Skip to content

Commit

Permalink
Merge pull request #12 from abs-tudelft/chore/chisel-6-update
Browse files Browse the repository at this point in the history
Update to comply with Chisel v6 and chiseltest v6 updates.
  • Loading branch information
ccromjongh authored May 8, 2024
2 parents 8888efa + 85d69b3 commit 95fc975
Show file tree
Hide file tree
Showing 12 changed files with 246 additions and 300 deletions.
6 changes: 3 additions & 3 deletions build.sbt
Original file line number Diff line number Diff line change
@@ -1,4 +1,4 @@
val chiselVersion = "5.1.0"
val chiselVersion = "6.3.0"

ThisBuild / scalaVersion := "2.13.12"

Expand All @@ -25,7 +25,7 @@ lazy val library: Project = (project in file("library"))
commonSettings,
name := "Tydi-Chisel",
description := "Tydi-Chisel is an implementation of Tydi concepts in the Chisel HDL.",
libraryDependencies += "edu.berkeley.cs" %% "chiseltest" % "5.0.2" % Test
libraryDependencies += "edu.berkeley.cs" %% "chiseltest" % "6.0.0" % Test
)
// .dependsOn(testingTools % "test->test")

Expand All @@ -34,7 +34,7 @@ lazy val testingTools: Project = (project in file("testing"))
commonSettings,
name := "Tydi-Chisel-Test",
description := "This package contains the testing tools for Tydi-Chisel",
libraryDependencies += "edu.berkeley.cs" %% "chiseltest" % "5.0.2"
libraryDependencies += "edu.berkeley.cs" %% "chiseltest" % "6.0.0"
)
.dependsOn(library % "compile->compile") // Make testingTools project depend on the library project

Expand Down
Original file line number Diff line number Diff line change
Expand Up @@ -5,7 +5,6 @@ import scala.collection.mutable.ListBuffer

import chisel3._
import chisel3.experimental.{BaseModule, ExtModule}
import chisel3.internal.firrtl.Width
import chisel3.util.{log2Ceil, Cat}
import nl.tudelft.tydi_chisel.ReverseTranspiler._
import nl.tudelft.tydi_chisel.utils.ComplexityConverter
Expand Down
Original file line number Diff line number Diff line change
@@ -1,7 +1,6 @@
package nl.tudelft.tydi_chisel.examples.pipeline

import chisel3._
import chisel3.internal.firrtl.Width
import chisel3.util.Counter
import circt.stage.ChiselStage.{emitCHIRRTL, emitSystemVerilog}
import nl.tudelft.tydi_chisel._
Expand Down
Original file line number Diff line number Diff line change
@@ -1,7 +1,6 @@
package nl.tudelft.tydi_chisel.examples.rgb

import chisel3._
import chisel3.internal.firrtl.Width
import circt.stage.ChiselStage.{emitCHIRRTL, emitSystemVerilog}
import nl.tudelft.tydi_chisel._

Expand Down
Original file line number Diff line number Diff line change
@@ -1,7 +1,6 @@
package nl.tudelft.tydi_chisel.examples.timestamped_message

import chisel3._
import chisel3.internal.firrtl.Width
import circt.stage.ChiselStage.{emitCHIRRTL, emitSystemVerilog}
import nl.tudelft.tydi_chisel._

Expand Down
2 changes: 0 additions & 2 deletions library/src/test/scala/gcd/GCDSpec.scala
Original file line number Diff line number Diff line change
Expand Up @@ -23,9 +23,7 @@ class GCDSpec extends AnyFreeSpec with ChiselScalatestTester {
"Gcd should calculate proper greatest common denominator" in {
test(new DecoupledGcd(16)) { dut =>
dut.input.initSource()
dut.input.setSourceClock(dut.clock)
dut.output.initSink()
dut.output.setSinkClock(dut.clock)

val testValues = for { x <- 0 to 10; y <- 0 to 10 } yield (x, y)
val inputSeq = testValues.map { case (x, y) => (new GcdInputBundle(16)).Lit(_.value1 -> x.U, _.value2 -> y.U) }
Expand Down
Loading

0 comments on commit 95fc975

Please sign in to comment.