Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Can't build a simple vision/L1 example #79

Open
vmayoral opened this issue Aug 29, 2021 · 5 comments
Open

Can't build a simple vision/L1 example #79

vmayoral opened this issue Aug 29, 2021 · 5 comments

Comments

@vmayoral
Copy link

vmayoral commented Aug 29, 2021

I'm trying to build a simple Vitis Vision Library L1 example using Vitis 2020.2.
Here's my setup for resize:

$ pwd
/home/xilinx/ros2_ws/src/Vitis_Libraries/vision/L1/examples/resize

Then build following instructions:

source /tools/Xilinx/Vitis/2020.2/settings64.sh
export DEVICE=/home/xilinx/ros2_ws/acceleration/firmware/select/platform/kv260_base.xpfm
export OPENCV_INCLUDE=/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include
export OPENCV_LIB=/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/lib/libopencv_imgproc.so
export LD_LIBRARY_PATH=$LD_LIBRARY_PATH:/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/lib
make run CSIM=1 CSYNTH=0 COSIM=0

This results into a number of failures which are available below:

errors
xilinx@xilinx:~/ros2_ws/src/Vitis_Libraries/vision/L1/examples/resize$ make run CSIM=1 CSYNTH=0 COSIM=0
Configured: settings.tcl
----
set XPART XCK26-SFVC784-2LV-C
set CSIM 1
set CSYNTH 0
set COSIM 0
set VIVADO_SYN 0
set VIVADO_IMPL 0
set XF_PROJ_ROOT "/home/xilinx/ros2_ws/src/Vitis_Libraries/vision/"
set OPENCV_INCLUDE "/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include"
set OPENCV_LIB "/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/lib/libopencv_imgproc.so"
set CUR_DIR "/home/xilinx/ros2_ws/src/Vitis_Libraries/vision/L1/examples/resize"
----
vitis_hls -f run_hls.tcl;

****** Vitis HLS - High-Level Synthesis from C, C++ and OpenCL v2020.2.2 (64-bit)
  **** SW Build 3118627 on Tue Feb  9 05:13:49 MST 2021
  **** IP Build 3115676 on Tue Feb  9 10:48:11 MST 2021
    ** Copyright 1986-2021 Xilinx, Inc. All Rights Reserved.

source /tools/Xilinx/Vitis_HLS/2020.2/scripts/vitis_hls/hls.tcl -notrace
INFO: [HLS 200-10] Running '/tools/Xilinx/Vitis_HLS/2020.2/bin/unwrapped/lnx64.o/vitis_hls'
INFO: [HLS 200-10] For user 'xilinx' on host 'xilinx' (Linux_x86_64 version 5.11.0-27-generic) on Sun Aug 29 12:24:03 CEST 2021
INFO: [HLS 200-10] On os Ubuntu 20.04.2 LTS
INFO: [HLS 200-10] In directory '/home/xilinx/ros2_ws/src/Vitis_Libraries/vision/L1/examples/resize'
Sourcing Tcl script 'run_hls.tcl'
INFO: [HLS 200-1510] Running: open_project -reset resize.prj
INFO: [HLS 200-10] Opening and resetting project '/home/xilinx/ros2_ws/src/Vitis_Libraries/vision/L1/examples/resize/resize.prj'.
WARNING: [HLS 200-40] No /home/xilinx/ros2_ws/src/Vitis_Libraries/vision/L1/examples/resize/resize.prj/sol1/sol1.aps file found.
INFO: [HLS 200-1510] Running: add_files /home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/examples/resize/xf_resize_accel.cpp -cflags -I/home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/include -I /home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/examples/resize/build -I ./ -D__SDSVHLS__ -std=c++0x -csimflags -I/home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/include -I /home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/examples/resize/build -I ./ -D__SDSVHLS__ -std=c++0x
INFO: [HLS 200-10] Adding design file '/home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/examples/resize/xf_resize_accel.cpp' to the project
INFO: [HLS 200-1510] Running: add_files -tb /home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/examples/resize/xf_resize_tb.cpp -cflags -I/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include -I/home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/include -I /home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/examples/resize/build -I ./ -D__SDSVHLS__ -std=c++0x -csimflags -I/home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/include -I /home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/examples/resize/build -I ./ -D__SDSVHLS__ -std=c++0x
INFO: [HLS 200-10] Adding test bench file '/home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/examples/resize/xf_resize_tb.cpp' to the project
INFO: [HLS 200-1510] Running: set_top resize_accel
INFO: [HLS 200-1510] Running: open_solution -reset sol1
INFO: [HLS 200-10] Creating and opening solution '/home/xilinx/ros2_ws/src/Vitis_Libraries/vision/L1/examples/resize/resize.prj/sol1'.
INFO: [HLS 200-10] Cleaning up the solution database.
WARNING: [HLS 200-40] No /home/xilinx/ros2_ws/src/Vitis_Libraries/vision/L1/examples/resize/resize.prj/sol1/sol1.aps file found.
INFO: [HLS 200-1505] Using default flow_target 'vivado'
Resolution: For help on HLS 200-1505 see www.xilinx.com/cgi-bin/docs/rdoc?v=2020.2;t=hls+guidance;d=200-1505.html
INFO: [HLS 200-1510] Running: set_part XCK26-SFVC784-2LV-C
INFO: [HLS 200-10] Setting target device to 'xck26-sfvc784-2LV-c'
INFO: [HLS 200-1510] Running: create_clock -period 3.3
INFO: [SYN 201-201] Setting up clock 'default' with a period of 3.3ns.
INFO: [HLS 200-1510] Running: csim_design -ldflags -L /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/lib/libopencv_imgproc.so -lopencv_imgcodecs -lopencv_imgproc -lopencv_core -lopencv_highgui -lopencv_flann -lopencv_features2d -argv  /home/xilinx/ros2_ws/src/Vitis_Libraries/vision//data/128x128.png
INFO: [SIM 211-2] *************** CSIM start ***************
INFO: [SIM 211-4] CSIM will launch GCC as the compiler.
make[1]: Entering directory '/home/xilinx/ros2_ws/src/Vitis_Libraries/vision/L1/examples/resize/resize.prj/sol1/csim/build'
   Compiling ../../../../xf_resize_tb.cpp in debug mode
make[1]: Leaving directory '/home/xilinx/ros2_ws/src/Vitis_Libraries/vision/L1/examples/resize/resize.prj/sol1/csim/build'
In file included from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/sys/cdefs.h:460:0,
                 from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/features.h:450,
                 from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/bits/libc-header-start.h:33,
                 from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/stdio.h:27,
                 from /home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/include/common/xf_headers.hpp:20,
                 from ../../../../xf_resize_tb.cpp:17:
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/bits/wordsize.h:23:2: error: #error "__WORDSIZE is not defined"
 #error "__WORDSIZE is not defined"
  ^~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/bits/wordsize.h:46:2: error: #error "Unknown __WORDSIZE detected"
 #error "Unknown __WORDSIZE detected"
  ^~~~~
In file included from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/sys/cdefs.h:461:0,
                 from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/features.h:450,
                 from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/bits/libc-header-start.h:33,
                 from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/stdio.h:27,
                 from /home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/include/common/xf_headers.hpp:20,
                 from ../../../../xf_resize_tb.cpp:17:
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/bits/long-double.h:23:2: error: #error "__WORDSIZE is not defined"
 #error "__WORDSIZE is not defined"
  ^~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/bits/long-double.h:46:2: error: #error "Unknown __WORDSIZE detected"
 #error "Unknown __WORDSIZE detected"
  ^~~~~
In file included from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/features.h:474:0,
                 from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/bits/libc-header-start.h:33,
                 from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/stdio.h:27,
                 from /home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/include/common/xf_headers.hpp:20,
                 from ../../../../xf_resize_tb.cpp:17:
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/gnu/stubs.h:23:2: error: #error "__WORDSIZE is not defined"
 #error "__WORDSIZE is not defined"
  ^~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/gnu/stubs.h:46:2: error: #error "Unknown __WORDSIZE detected"
 #error "Unknown __WORDSIZE detected"
  ^~~~~
In file included from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/stdio.h:38:0,
                 from /home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/include/common/xf_headers.hpp:20,
                 from ../../../../xf_resize_tb.cpp:17:
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/bits/types.h:139:3: error: #error
 # error
   ^~~~~
In file included from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/bits/types.h:141:0,
                 from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/stdio.h:38,
                 from /home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/include/common/xf_headers.hpp:20,
                 from ../../../../xf_resize_tb.cpp:17:
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/bits/typesizes.h:23:2: error: #error "__WORDSIZE is not defined"
 #error "__WORDSIZE is not defined"
  ^~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/bits/typesizes.h:46:2: error: #error "Unknown __WORDSIZE detected"
 #error "Unknown __WORDSIZE detected"
  ^~~~~
In file included from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/stdlib.h:55:0,
                 from /home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/include/common/xf_headers.hpp:21,
                 from ../../../../xf_resize_tb.cpp:17:
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/bits/floatn.h:23:2: error: #error "__WORDSIZE is not defined"
 #error "__WORDSIZE is not defined"
  ^~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/bits/floatn.h:46:2: error: #error "Unknown __WORDSIZE detected"
 #error "Unknown __WORDSIZE detected"
  ^~~~~
In file included from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/endian.h:36:0,
                 from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/sys/types.h:176,
                 from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/stdlib.h:394,
                 from /home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/include/common/xf_headers.hpp:21,
                 from ../../../../xf_resize_tb.cpp:17:
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/bits/endian.h:23:2: error: #error "__WORDSIZE is not defined"
 #error "__WORDSIZE is not defined"
  ^~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/bits/endian.h:46:2: error: #error "Unknown __WORDSIZE detected"
 #error "Unknown __WORDSIZE detected"
  ^~~~~
In file included from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/sys/types.h:227:0,
                 from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/stdlib.h:394,
                 from /home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/include/common/xf_headers.hpp:21,
                 from ../../../../xf_resize_tb.cpp:17:
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/bits/pthreadtypes.h:23:2: error: #error "__WORDSIZE is not defined"
 #error "__WORDSIZE is not defined"
  ^~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/bits/pthreadtypes.h:46:2: error: #error "Unknown __WORDSIZE detected"
 #error "Unknown __WORDSIZE detected"
  ^~~~~
In file included from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/bits/posix1_lim.h:161:0,
                 from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/limits.h:183,
                 from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/opencv2/core/cvdef.h:92,
                 from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/opencv2/core.hpp:52,
                 from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/opencv2/opencv.hpp:52,
                 from /home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/include/common/xf_headers.hpp:23,
                 from ../../../../xf_resize_tb.cpp:17:
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/bits/local_lim.h:23:2: error: #error "__WORDSIZE is not defined"
 #error "__WORDSIZE is not defined"
  ^~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/bits/local_lim.h:46:2: error: #error "Unknown __WORDSIZE detected"
 #error "Unknown __WORDSIZE detected"
  ^~~~~
In file included from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:27:0,
                 from /tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr-default.h:35,
                 from /tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr.h:148,
                 from /tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/ext/atomicity.h:35,
                 from /tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/bits/basic_string.h:39,
                 from /tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/string:52,
                 from /tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/stdexcept:39,
                 from /tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/array:39,
                 from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/opencv2/core/cvdef.h:474,
                 from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/opencv2/core.hpp:52,
                 from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/opencv2/opencv.hpp:52,
                 from /home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/include/common/xf_headers.hpp:23,
                 from ../../../../xf_resize_tb.cpp:17:
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/bits/setjmp.h:23:2: error: #error "__WORDSIZE is not defined"
 #error "__WORDSIZE is not defined"
  ^~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/bits/setjmp.h:46:2: error: #error "Unknown __WORDSIZE detected"
 #error "Unknown __WORDSIZE detected"
  ^~~~~
In file included from /usr/include/math.h:233:0,
                 from /tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/cmath:45,
                 from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/opencv2/core/cvstd.hpp:62,
                 from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/opencv2/core/base.hpp:58,
                 from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/opencv2/core.hpp:54,
                 from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/opencv2/opencv.hpp:52,
                 from /home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/include/common/xf_headers.hpp:23,
                 from ../../../../xf_resize_tb.cpp:17:
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/bits/fp-fast.h:23:2: error: #error "__WORDSIZE is not defined"
 #error "__WORDSIZE is not defined"
  ^~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/bits/fp-fast.h:46:2: error: #error "Unknown __WORDSIZE detected"
 #error "Unknown __WORDSIZE detected"
  ^~~~~
In file included from /tools/Xilinx/Vitis_HLS/2020.2/include/floating_point_v7_0_bitacc_cmodel.h:144:0,
                 from /tools/Xilinx/Vitis_HLS/2020.2/include/hls_fpo.h:189,
                 from /tools/Xilinx/Vitis_HLS/2020.2/include/hls_half_fpo.h:64,
                 from /tools/Xilinx/Vitis_HLS/2020.2/include/hls_half.h:71,
                 from /tools/Xilinx/Vitis_HLS/2020.2/include/etc/ap_private.h:91,
                 from /tools/Xilinx/Vitis_HLS/2020.2/include/ap_common.h:646,
                 from /tools/Xilinx/Vitis_HLS/2020.2/include/ap_int.h:55,
                 from /tools/Xilinx/Vitis_HLS/2020.2/include/ap_axi_sdata.h:88,
                 from /home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/include/common/xf_structs.hpp:27,
                 from /home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/include/common/xf_common.hpp:20,
                 from /home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/include/common/xf_sw_utils.hpp:20,
                 from /home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/include/common/xf_headers.hpp:28,
                 from ../../../../xf_resize_tb.cpp:17:
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/gmp.h:23:2: error: #error "__WORDSIZE is not defined"
 #error "__WORDSIZE is not defined"
  ^~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/gmp.h:46:2: error: #error "Unknown __WORDSIZE detected"
 #error "Unknown __WORDSIZE detected"
  ^~~~~
In file included from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/fenv.h:64:0,
                 from /tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/cfenv:41,
                 from /tools/Xilinx/Vitis_HLS/2020.2/include/ap_fixed_base.h:78,
                 from /tools/Xilinx/Vitis_HLS/2020.2/include/ap_fixed.h:56,
                 from /tools/Xilinx/Vitis_HLS/2020.2/include/ap_int.h:364,
                 from /tools/Xilinx/Vitis_HLS/2020.2/include/ap_axi_sdata.h:88,
                 from /home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/include/common/xf_structs.hpp:27,
                 from /home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/include/common/xf_common.hpp:20,
                 from /home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/include/common/xf_sw_utils.hpp:20,
                 from /home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/include/common/xf_headers.hpp:28,
                 from ../../../../xf_resize_tb.cpp:17:
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/bits/fenv.h:23:2: error: #error "__WORDSIZE is not defined"
 #error "__WORDSIZE is not defined"
  ^~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/bits/fenv.h:46:2: error: #error "Unknown __WORDSIZE detected"
 #error "Unknown __WORDSIZE detected"
  ^~~~~
In file included from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/stdio.h:38:0,
                 from /home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/include/common/xf_headers.hpp:20,
                 from ../../../../xf_resize_tb.cpp:17:
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/bits/types.h:145:1: error: ‘__STD_TYPE’ does not name a type
 __STD_TYPE __DEV_T_TYPE __dev_t; /* Type of device numbers.  */
 ^~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/bits/types.h:146:1: error: ‘__STD_TYPE’ does not name a type
 __STD_TYPE __UID_T_TYPE __uid_t; /* Type of user identifications.  */
 ^~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/bits/types.h:147:1: error: ‘__STD_TYPE’ does not name a type
 __STD_TYPE __GID_T_TYPE __gid_t; /* Type of group identifications.  */
 ^~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/bits/types.h:148:1: error: ‘__STD_TYPE’ does not name a type
 __STD_TYPE __INO_T_TYPE __ino_t; /* Type of file serial numbers.  */
 ^~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/bits/types.h:149:1: error: ‘__STD_TYPE’ does not name a type
 __STD_TYPE __INO64_T_TYPE __ino64_t; /* Type of file serial numbers (LFS).*/
 ^~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/bits/types.h:150:1: error: ‘__STD_TYPE’ does not name a type
 __STD_TYPE __MODE_T_TYPE __mode_t; /* Type of file attribute bitmasks.  */
 ^~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/bits/types.h:151:1: error: ‘__STD_TYPE’ does not name a type
 __STD_TYPE __NLINK_T_TYPE __nlink_t; /* Type of file link counts.  */
 ^~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/bits/types.h:152:1: error: ‘__STD_TYPE’ does not name a type
 __STD_TYPE __OFF_T_TYPE __off_t; /* Type of file sizes and offsets.  */
 ^~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/bits/types.h:153:1: error: ‘__STD_TYPE’ does not name a type
 __STD_TYPE __OFF64_T_TYPE __off64_t; /* Type of file sizes and offsets (LFS).  */
 ^~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/bits/types.h:154:1: error: ‘__STD_TYPE’ does not name a type
 __STD_TYPE __PID_T_TYPE __pid_t; /* Type of process identifications.  */
 ^~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/bits/types.h:155:1: error: ‘__STD_TYPE’ does not name a type
 __STD_TYPE __FSID_T_TYPE __fsid_t; /* Type of file system IDs.  */
 ^~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/bits/types.h:156:1: error: ‘__STD_TYPE’ does not name a type
 __STD_TYPE __CLOCK_T_TYPE __clock_t; /* Type of CPU usage counts.  */
 ^~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/bits/types.h:157:1: error: ‘__STD_TYPE’ does not name a type
 __STD_TYPE __RLIM_T_TYPE __rlim_t; /* Type for resource measurement.  */
 ^~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/bits/types.h:158:1: error: ‘__STD_TYPE’ does not name a type
 __STD_TYPE __RLIM64_T_TYPE __rlim64_t; /* Type for resource measurement (LFS).  */
 ^~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/bits/types.h:159:1: error: ‘__STD_TYPE’ does not name a type
 __STD_TYPE __ID_T_TYPE __id_t;  /* General type for IDs.  */
 ^~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/bits/types.h:160:1: error: ‘__STD_TYPE’ does not name a type
 __STD_TYPE __TIME_T_TYPE __time_t; /* Seconds since the Epoch.  */
 ^~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/bits/types.h:161:1: error: ‘__STD_TYPE’ does not name a type
 __STD_TYPE __USECONDS_T_TYPE __useconds_t; /* Count of microseconds.  */
 ^~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/bits/types.h:162:1: error: ‘__STD_TYPE’ does not name a type
 __STD_TYPE __SUSECONDS_T_TYPE __suseconds_t; /* Signed count of microseconds.  */
 ^~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/bits/types.h:164:1: error: ‘__STD_TYPE’ does not name a type
 __STD_TYPE __DADDR_T_TYPE __daddr_t; /* The type of a disk address.  */
 ^~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/bits/types.h:165:1: error: ‘__STD_TYPE’ does not name a type
 __STD_TYPE __KEY_T_TYPE __key_t; /* Type of an IPC key.  */
 ^~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/bits/types.h:168:1: error: ‘__STD_TYPE’ does not name a type
 __STD_TYPE __CLOCKID_T_TYPE __clockid_t;
 ^~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/bits/types.h:171:1: error: ‘__STD_TYPE’ does not name a type
 __STD_TYPE __TIMER_T_TYPE __timer_t;
 ^~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/bits/types.h:174:1: error: ‘__STD_TYPE’ does not name a type
 __STD_TYPE __BLKSIZE_T_TYPE __blksize_t;
 ^~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/bits/types.h:179:1: error: ‘__STD_TYPE’ does not name a type
 __STD_TYPE __BLKCNT_T_TYPE __blkcnt_t;
 ^~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/bits/types.h:180:1: error: ‘__STD_TYPE’ does not name a type
 __STD_TYPE __BLKCNT64_T_TYPE __blkcnt64_t;
 ^~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/bits/types.h:183:1: error: ‘__STD_TYPE’ does not name a type
 __STD_TYPE __FSBLKCNT_T_TYPE __fsblkcnt_t;
 ^~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/bits/types.h:184:1: error: ‘__STD_TYPE’ does not name a type
 __STD_TYPE __FSBLKCNT64_T_TYPE __fsblkcnt64_t;
 ^~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/bits/types.h:187:1: error: ‘__STD_TYPE’ does not name a type
 __STD_TYPE __FSFILCNT_T_TYPE __fsfilcnt_t;
 ^~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/bits/types.h:188:1: error: ‘__STD_TYPE’ does not name a type
 __STD_TYPE __FSFILCNT64_T_TYPE __fsfilcnt64_t;
 ^~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/bits/types.h:191:1: error: ‘__STD_TYPE’ does not name a type
 __STD_TYPE __FSWORD_T_TYPE __fsword_t;
 ^~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/bits/types.h:193:1: error: ‘__STD_TYPE’ does not name a type
 __STD_TYPE __SSIZE_T_TYPE __ssize_t; /* Type of a byte count, or error.  */
 ^~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/bits/types.h:196:1: error: ‘__STD_TYPE’ does not name a type
 __STD_TYPE __SYSCALL_SLONG_TYPE __syscall_slong_t;
 ^~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/bits/types.h:198:1: error: ‘__STD_TYPE’ does not name a type
 __STD_TYPE __SYSCALL_ULONG_TYPE __syscall_ulong_t;
 ^~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/bits/types.h:202:9: error: ‘__off64_t’ does not name a type
 typedef __off64_t __loff_t; /* Type of file sizes and offsets (LFS).  */
         ^~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/bits/types.h:206:1: error: ‘__STD_TYPE’ does not name a type
 __STD_TYPE __SWORD_TYPE __intptr_t;
 ^~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/bits/types.h:209:1: error: ‘__STD_TYPE’ does not name a type
 __STD_TYPE __U32_TYPE __socklen_t;
 ^~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/bits/types.h:222:1: error: ‘__STD_TYPE’ does not name a type
 __STD_TYPE __TIME64_T_TYPE __time64_t;
 ^~~~~~~~~~
In file included from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/stdio.h:39:0,
                 from /home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/include/common/xf_headers.hpp:20,
                 from ../../../../xf_resize_tb.cpp:17:
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/bits/types/__fpos_t.h:12:3: error: ‘__off_t’ does not name a type
   __off_t __pos;
   ^~~~~~~
In file included from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/stdio.h:40:0,
                 from /home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/include/common/xf_headers.hpp:20,
                 from ../../../../xf_resize_tb.cpp:17:
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/bits/types/__fpos64_t.h:12:3: error: ‘__off64_t’ does not name a type
   __off64_t __pos;
   ^~~~~~~~~
In file included from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/stdio.h:43:0,
                 from /home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/include/common/xf_headers.hpp:20,
                 from ../../../../xf_resize_tb.cpp:17:
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/bits/types/struct_FILE.h:74:3: error: ‘__off_t’ does not name a type
   __off_t _old_offset; /* This used to be _offset but it's too small.  */
   ^~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/bits/types/struct_FILE.h:89:3: error: ‘__off64_t’ does not name a type
   __off64_t _offset;
   ^~~~~~~~~
In file included from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/stdio.h:46:0,
                 from /home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/include/common/xf_headers.hpp:20,
                 from ../../../../xf_resize_tb.cpp:17:
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/bits/types/cookie_io_functions_t.h:27:9: error: ‘__ssize_t’ does not name a type
 typedef __ssize_t cookie_read_function_t (void *__cookie, char *__buf,
         ^~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/bits/types/cookie_io_functions_t.h:36:9: error: ‘__ssize_t’ does not name a type
 typedef __ssize_t cookie_write_function_t (void *__cookie, const char *__buf,
         ^~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/bits/types/cookie_io_functions_t.h:45:53: error: ‘__off64_t’ has not been declared
 typedef int cookie_seek_function_t (void *__cookie, __off64_t *__pos, int __w);
                                                     ^~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/bits/types/cookie_io_functions_t.h:57:3: error: ‘cookie_read_function_t’ does not name a type
   cookie_read_function_t *read;  /* Read bytes.  */
   ^~~~~~~~~~~~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/bits/types/cookie_io_functions_t.h:58:3: error: ‘cookie_write_function_t’ does not name a type
   cookie_write_function_t *write; /* Write bytes.  */
   ^~~~~~~~~~~~~~~~~~~~~~~
In file included from /home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/include/common/xf_headers.hpp:20:0,
                 from ../../../../xf_resize_tb.cpp:17:
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/stdio.h:63:9: error: ‘__off_t’ does not name a type
 typedef __off_t off_t;
         ^~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/stdio.h:70:9: error: ‘__off64_t’ does not name a type
 typedef __off64_t off64_t;
         ^~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/stdio.h:77:9: error: ‘__ssize_t’ does not name a type
 typedef __ssize_t ssize_t;
         ^~~~~~~~~
In file included from /home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/include/common/xf_headers.hpp:20:0,
                 from ../../../../xf_resize_tb.cpp:17:
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/stdio.h:603:8: error: ‘__ssize_t’ does not name a type
 extern __ssize_t __getdelim (char **__restrict __lineptr,
        ^~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/stdio.h:606:8: error: ‘__ssize_t’ does not name a type
 extern __ssize_t getdelim (char **__restrict __lineptr,
        ^~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/stdio.h:616:8: error: ‘__ssize_t’ does not name a type
 extern __ssize_t getline (char **__restrict __lineptr,
        ^~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/stdio.h:707:36: error: ‘__off_t’ has not been declared
 extern int fseeko (FILE *__stream, __off_t __off, int __whence);
                                    ^~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/stdio.h:712:8: error: ‘__off_t’ does not name a type
 extern __off_t ftello (FILE *__stream) __wur;
        ^~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/stdio.h:750:38: error: ‘__off64_t’ has not been declared
 extern int fseeko64 (FILE *__stream, __off64_t __off, int __whence);
                                      ^~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/stdio.h:751:8: error: ‘__off64_t’ does not name a type
 extern __off64_t ftello64 (FILE *__stream) __wur;
        ^~~~~~~~~
In file included from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/stdlib.h:394:0,
                 from /home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/include/common/xf_headers.hpp:21,
                 from ../../../../xf_resize_tb.cpp:17:
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/sys/types.h:39:9: error: ‘__fsid_t’ does not name a type
 typedef __fsid_t fsid_t;
         ^~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/sys/types.h:42:9: error: ‘__loff_t’ does not name a type
 typedef __loff_t loff_t;
         ^~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/sys/types.h:47:9: error: ‘__ino_t’ does not name a type
 typedef __ino_t ino_t;
         ^~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/sys/types.h:54:9: error: ‘__ino64_t’ does not name a type
 typedef __ino64_t ino64_t;
         ^~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/sys/types.h:59:9: error: ‘__dev_t’ does not name a type
 typedef __dev_t dev_t;
         ^~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/sys/types.h:64:9: error: ‘__gid_t’ does not name a type
 typedef __gid_t gid_t;
         ^~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/sys/types.h:69:9: error: ‘__mode_t’ does not name a type
 typedef __mode_t mode_t;
         ^~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/sys/types.h:74:9: error: ‘__nlink_t’ does not name a type
 typedef __nlink_t nlink_t;
         ^~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/sys/types.h:79:9: error: ‘__uid_t’ does not name a type
 typedef __uid_t uid_t;
         ^~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/sys/types.h:97:9: error: ‘__pid_t’ does not name a type
 typedef __pid_t pid_t;
         ^~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/sys/types.h:103:9: error: ‘__id_t’ does not name a type
 typedef __id_t id_t;
         ^~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/sys/types.h:114:9: error: ‘__daddr_t’ does not name a type
 typedef __daddr_t daddr_t;
         ^~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/sys/types.h:121:9: error: ‘__key_t’ does not name a type
 typedef __key_t key_t;
         ^~~~~~~
In file included from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/sys/types.h:126:0,
                 from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/stdlib.h:394,
                 from /home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/include/common/xf_headers.hpp:21,
                 from ../../../../xf_resize_tb.cpp:17:
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/bits/types/clock_t.h:7:9: error: ‘__clock_t’ does not name a type
 typedef __clock_t clock_t;
         ^~~~~~~~~
In file included from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/sys/types.h:128:0,
                 from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/stdlib.h:394,
                 from /home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/include/common/xf_headers.hpp:21,
                 from ../../../../xf_resize_tb.cpp:17:
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/bits/types/clockid_t.h:7:9: error: ‘__clockid_t’ does not name a type
 typedef __clockid_t clockid_t;
         ^~~~~~~~~~~
In file included from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/sys/types.h:129:0,
                 from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/stdlib.h:394,
                 from /home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/include/common/xf_headers.hpp:21,
                 from ../../../../xf_resize_tb.cpp:17:
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/bits/types/time_t.h:7:9: error: ‘__time_t’ does not name a type
 typedef __time_t time_t;
         ^~~~~~~~
In file included from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/sys/types.h:130:0,
                 from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/stdlib.h:394,
                 from /home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/include/common/xf_headers.hpp:21,
                 from ../../../../xf_resize_tb.cpp:17:
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/bits/types/timer_t.h:7:9: error: ‘__timer_t’ does not name a type
 typedef __timer_t timer_t;
         ^~~~~~~~~
In file included from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/stdlib.h:394:0,
                 from /home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/include/common/xf_headers.hpp:21,
                 from ../../../../xf_resize_tb.cpp:17:
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/sys/types.h:134:9: error: ‘__useconds_t’ does not name a type
 typedef __useconds_t useconds_t;
         ^~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/sys/types.h:138:9: error: ‘__suseconds_t’ does not name a type
 typedef __suseconds_t suseconds_t;
         ^~~~~~~~~~~~~
In file included from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/sys/select.h:37:0,
                 from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/sys/types.h:179,
                 from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/stdlib.h:394,
                 from /home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/include/common/xf_headers.hpp:21,
                 from ../../../../xf_resize_tb.cpp:17:
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/bits/types/struct_timeval.h:10:3: error: ‘__time_t’ does not name a type
   __time_t tv_sec;  /* Seconds.  */
   ^~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/bits/types/struct_timeval.h:11:3: error: ‘__suseconds_t’ does not name a type
   __suseconds_t tv_usec; /* Microseconds.  */
   ^~~~~~~~~~~~~
In file included from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/sys/select.h:39:0,
                 from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/sys/types.h:179,
                 from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/stdlib.h:394,
                 from /home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/include/common/xf_headers.hpp:21,
                 from ../../../../xf_resize_tb.cpp:17:
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/bits/types/struct_timespec.h:11:3: error: ‘__time_t’ does not name a type
   __time_t tv_sec;  /* Seconds.  */
   ^~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/bits/types/struct_timespec.h:12:3: error: ‘__syscall_slong_t’ does not name a type
   __syscall_slong_t tv_nsec; /* Nanoseconds.  */
   ^~~~~~~~~~~~~~~~~
In file included from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/sys/types.h:179:0,
                 from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/stdlib.h:394,
                 from /home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/include/common/xf_headers.hpp:21,
                 from ../../../../xf_resize_tb.cpp:17:
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/sys/select.h:64:24: error: ‘__FD_SETSIZE’ was not declared in this scope
     __fd_mask fds_bits[__FD_SETSIZE / __NFDBITS];
                        ^~~~~~~~~~~~
In file included from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/stdlib.h:394:0,
                 from /home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/include/common/xf_headers.hpp:21,
                 from ../../../../xf_resize_tb.cpp:17:
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/sys/types.h:185:9: error: ‘__blksize_t’ does not name a type
 typedef __blksize_t blksize_t;
         ^~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/sys/types.h:192:9: error: ‘__blkcnt_t’ does not name a type
 typedef __blkcnt_t blkcnt_t;  /* Type to count number of disk blocks.  */
         ^~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/sys/types.h:196:9: error: ‘__fsblkcnt_t’ does not name a type
 typedef __fsblkcnt_t fsblkcnt_t; /* Type to count file system blocks.  */
         ^~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/sys/types.h:200:9: error: ‘__fsfilcnt_t’ does not name a type
 typedef __fsfilcnt_t fsfilcnt_t; /* Type to count file system inodes.  */
         ^~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/sys/types.h:219:9: error: ‘__blkcnt64_t’ does not name a type
 typedef __blkcnt64_t blkcnt64_t;     /* Type to count number of disk blocks. */
         ^~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/sys/types.h:220:9: error: ‘__fsblkcnt64_t’ does not name a type
 typedef __fsblkcnt64_t fsblkcnt64_t; /* Type to count file system blocks.  */
         ^~~~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/sys/types.h:221:9: error: ‘__fsfilcnt64_t’ does not name a type
 typedef __fsfilcnt64_t fsfilcnt64_t; /* Type to count file system inodes.  */
         ^~~~~~~~~~~~~~
In file included from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/sched.h:44:0,
                 from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:23,
                 from /tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr-default.h:35,
                 from /tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr.h:148,
                 from /tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/ext/atomicity.h:35,
                 from /tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/bits/basic_string.h:39,
                 from /tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/string:52,
                 from /tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/stdexcept:39,
                 from /tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/array:39,
                 from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/opencv2/core/cvdef.h:474,
                 from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/opencv2/core.hpp:52,
                 from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/opencv2/opencv.hpp:52,
                 from /home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/include/common/xf_headers.hpp:23,
                 from ../../../../xf_resize_tb.cpp:17:
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/bits/cpu-set.h:32:9: error: ‘__CPU_MASK_TYPE’ does not name a type
 typedef __CPU_MASK_TYPE __cpu_mask;
         ^~~~~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/bits/cpu-set.h:41:3: error: ‘__cpu_mask’ does not name a type
   __cpu_mask __bits[__CPU_SETSIZE / __NCPUBITS];
   ^~~~~~~~~~
In file included from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:23:0,
                 from /tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr-default.h:35,
                 from /tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr.h:148,
                 from /tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/ext/atomicity.h:35,
                 from /tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/bits/basic_string.h:39,
                 from /tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/string:52,
                 from /tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/stdexcept:39,
                 from /tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/array:39,
                 from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/opencv2/core/cvdef.h:474,
                 from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/opencv2/core.hpp:52,
                 from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/opencv2/opencv.hpp:52,
                 from /home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/include/common/xf_headers.hpp:23,
                 from ../../../../xf_resize_tb.cpp:17:
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/sched.h:54:28: error: ‘__pid_t’ was not declared in this scope
 extern int sched_setparam (__pid_t __pid, const struct sched_param *__param)
                            ^~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/sched.h:54:43: error: expected primary-expression before ‘const’
 extern int sched_setparam (__pid_t __pid, const struct sched_param *__param)
                                           ^~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/sched.h:54:76: error: expression list treated as compound expression in initializer [-fpermissive]
 extern int sched_setparam (__pid_t __pid, const struct sched_param *__param)
                                                                            ^
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/sched.h:58:28: error: ‘__pid_t’ was not declared in this scope
 extern int sched_getparam (__pid_t __pid, struct sched_param *__param) __THROW;
                            ^~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/sched.h:58:43: error: expected primary-expression before ‘struct’
 extern int sched_getparam (__pid_t __pid, struct sched_param *__param) __THROW;
                                           ^~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/sched.h:58:70: error: expression list treated as compound expression in initializer [-fpermissive]
 extern int sched_getparam (__pid_t __pid, struct sched_param *__param) __THROW;
                                                                      ^
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/sched.h:61:32: error: ‘__pid_t’ was not declared in this scope
 extern int sched_setscheduler (__pid_t __pid, int __policy,
                                ^~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/sched.h:61:47: error: expected primary-expression before ‘int’
 extern int sched_setscheduler (__pid_t __pid, int __policy,
                                               ^~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/sched.h:62:11: error: expected primary-expression before ‘const’
           const struct sched_param *__param) __THROW;
           ^~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/sched.h:62:44: error: expression list treated as compound expression in initializer [-fpermissive]
           const struct sched_param *__param) __THROW;
                                            ^
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/sched.h:65:32: error: ‘__pid_t’ was not declared in this scope
 extern int sched_getscheduler (__pid_t __pid) __THROW;
                                ^~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/sched.h:77:35: error: ‘__pid_t’ was not declared in this scope
 extern int sched_rr_get_interval (__pid_t __pid, struct timespec *__t) __THROW;
                                   ^~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/sched.h:77:50: error: expected primary-expression before ‘struct’
 extern int sched_rr_get_interval (__pid_t __pid, struct timespec *__t) __THROW;
                                                  ^~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/sched.h:77:70: error: expression list treated as compound expression in initializer [-fpermissive]
 extern int sched_rr_get_interval (__pid_t __pid, struct timespec *__t) __THROW;
                                                                      ^
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/sched.h:121:31: error: ‘__pid_t’ was not declared in this scope
 extern int sched_setaffinity (__pid_t __pid, size_t __cpusetsize,
                               ^~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/sched.h:121:53: error: expected primary-expression before ‘__cpusetsize’
 extern int sched_setaffinity (__pid_t __pid, size_t __cpusetsize,
                                                     ^~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/sched.h:122:10: error: expected primary-expression before ‘const’
          const cpu_set_t *__cpuset) __THROW;
          ^~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/sched.h:122:35: error: expression list treated as compound expression in initializer [-fpermissive]
          const cpu_set_t *__cpuset) __THROW;
                                   ^
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/sched.h:125:31: error: ‘__pid_t’ was not declared in this scope
 extern int sched_getaffinity (__pid_t __pid, size_t __cpusetsize,
                               ^~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/sched.h:125:53: error: expected primary-expression before ‘__cpusetsize’
 extern int sched_getaffinity (__pid_t __pid, size_t __cpusetsize,
                                                     ^~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/sched.h:126:20: error: expected primary-expression before ‘*’ token
          cpu_set_t *__cpuset) __THROW;
                    ^
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/sched.h:126:21: error: ‘__cpuset’ was not declared in this scope
          cpu_set_t *__cpuset) __THROW;
                     ^~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/sched.h:126:29: error: expression list treated as compound expression in initializer [-fpermissive]
          cpu_set_t *__cpuset) __THROW;
                             ^
In file included from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/bits/time.h:73:0,
                 from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/time.h:33,
                 from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:24,
                 from /tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr-default.h:35,
                 from /tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr.h:148,
                 from /tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/ext/atomicity.h:35,
                 from /tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/bits/basic_string.h:39,
                 from /tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/string:52,
                 from /tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/stdexcept:39,
                 from /tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/array:39,
                 from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/opencv2/core/cvdef.h:474,
                 from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/opencv2/core.hpp:52,
                 from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/opencv2/opencv.hpp:52,
                 from /home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/include/common/xf_headers.hpp:23,
                 from ../../../../xf_resize_tb.cpp:17:
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/bits/timex.h:29:3: error: ‘__syscall_slong_t’ does not name a type
   __syscall_slong_t offset; /* time offset (usec) */
   ^~~~~~~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/bits/timex.h:30:3: error: ‘__syscall_slong_t’ does not name a type
   __syscall_slong_t freq; /* frequency offset (scaled ppm) */
   ^~~~~~~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/bits/timex.h:31:3: error: ‘__syscall_slong_t’ does not name a type
   __syscall_slong_t maxerror; /* maximum error (usec) */
   ^~~~~~~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/bits/timex.h:32:3: error: ‘__syscall_slong_t’ does not name a type
   __syscall_slong_t esterror; /* estimated error (usec) */
   ^~~~~~~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/bits/timex.h:34:3: error: ‘__syscall_slong_t’ does not name a type
   __syscall_slong_t constant; /* pll time constant */
   ^~~~~~~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/bits/timex.h:35:3: error: ‘__syscall_slong_t’ does not name a type
   __syscall_slong_t precision; /* clock precision (usec) (ro) */
   ^~~~~~~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/bits/timex.h:36:3: error: ‘__syscall_slong_t’ does not name a type
   __syscall_slong_t tolerance; /* clock frequency tolerance (ppm) (ro) */
   ^~~~~~~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/bits/timex.h:38:3: error: ‘__syscall_slong_t’ does not name a type
   __syscall_slong_t tick; /* (modified) usecs between clock ticks */
   ^~~~~~~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/bits/timex.h:39:3: error: ‘__syscall_slong_t’ does not name a type
   __syscall_slong_t ppsfreq; /* pps frequency (scaled ppm) (ro) */
   ^~~~~~~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/bits/timex.h:40:3: error: ‘__syscall_slong_t’ does not name a type
   __syscall_slong_t jitter; /* pps jitter (us) (ro) */
   ^~~~~~~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/bits/timex.h:42:3: error: ‘__syscall_slong_t’ does not name a type
   __syscall_slong_t stabil; /* pps stability (scaled ppm) (ro) */
   ^~~~~~~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/bits/timex.h:43:3: error: ‘__syscall_slong_t’ does not name a type
   __syscall_slong_t jitcnt; /* jitter limit exceeded (ro) */
   ^~~~~~~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/bits/timex.h:44:3: error: ‘__syscall_slong_t’ does not name a type
   __syscall_slong_t calcnt; /* calibration intervals (ro) */
   ^~~~~~~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/bits/timex.h:45:3: error: ‘__syscall_slong_t’ does not name a type
   __syscall_slong_t errcnt; /* calibration errors (ro) */
   ^~~~~~~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/bits/timex.h:46:3: error: ‘__syscall_slong_t’ does not name a type
   __syscall_slong_t stbcnt; /* stability limit exceeded (ro) */
   ^~~~~~~~~~~~~~~~~
In file included from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/time.h:33:0,
                 from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:24,
                 from /tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr-default.h:35,
                 from /tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr.h:148,
                 from /tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/ext/atomicity.h:35,
                 from /tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/bits/basic_string.h:39,
                 from /tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/string:52,
                 from /tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/stdexcept:39,
                 from /tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/array:39,
                 from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/opencv2/core/cvdef.h:474,
                 from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/opencv2/core.hpp:52,
                 from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/opencv2/opencv.hpp:52,
                 from /home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/include/common/xf_headers.hpp:23,
                 from ../../../../xf_resize_tb.cpp:17:
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/bits/time.h:78:27: error: ‘__clockid_t’ was not declared in this scope
 extern int clock_adjtime (__clockid_t __clock_id, struct timex *__utx) __THROW;
                           ^~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/bits/time.h:78:51: error: expected primary-expression before ‘struct’
 extern int clock_adjtime (__clockid_t __clock_id, struct timex *__utx) __THROW;
                                                   ^~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/bits/time.h:78:70: error: expression list treated as compound expression in initializer [-fpermissive]
 extern int clock_adjtime (__clockid_t __clock_id, struct timex *__utx) __THROW;
                                                                      ^
In file included from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:24:0,
                 from /tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr-default.h:35,
                 from /tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr.h:148,
                 from /tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/ext/atomicity.h:35,
                 from /tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/bits/basic_string.h:39,
                 from /tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/string:52,
                 from /tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/stdexcept:39,
                 from /tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/array:39,
                 from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/opencv2/core/cvdef.h:474,
                 from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/opencv2/core.hpp:52,
                 from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/opencv2/opencv.hpp:52,
                 from /home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/include/common/xf_headers.hpp:23,
                 from ../../../../xf_resize_tb.cpp:17:
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/time.h:72:8: error: ‘clock_t’ does not name a type
 extern clock_t clock (void) __THROW;
        ^~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/time.h:75:8: error: ‘time_t’ does not name a type
 extern time_t time (time_t *__timer) __THROW;
        ^~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/time.h:78:25: error: ‘time_t’ was not declared in this scope
 extern double difftime (time_t __time1, time_t __time0)
                         ^~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/time.h:78:41: error: ‘time_t’ was not declared in this scope
 extern double difftime (time_t __time1, time_t __time0)
                                         ^~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/time.h:78:55: error: expression list treated as compound expression in initializer [-fpermissive]
 extern double difftime (time_t __time1, time_t __time0)
                                                       ^
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/time.h:82:8: error: ‘time_t’ does not name a type
 extern time_t mktime (struct tm *__tp) __THROW;
        ^~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/time.h:119:33: error: ‘time_t’ does not name a type
 extern struct tm *gmtime (const time_t *__timer) __THROW;
                                 ^~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/time.h:123:36: error: ‘time_t’ does not name a type
 extern struct tm *localtime (const time_t *__timer) __THROW;
                                    ^~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/time.h:128:35: error: ‘time_t’ does not name a type
 extern struct tm *gmtime_r (const time_t *__restrict __timer,
                                   ^~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/time.h:133:38: error: ‘time_t’ does not name a type
 extern struct tm *localtime_r (const time_t *__restrict __timer,
                                      ^~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/time.h:142:27: error: ‘time_t’ does not name a type
 extern char *ctime (const time_t *__timer) __THROW;
                           ^~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/time.h:153:29: error: ‘time_t’ does not name a type
 extern char *ctime_r (const time_t *__restrict __timer,
                             ^~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/time.h:181:25: error: ‘time_t’ does not name a type
 extern int stime (const time_t *__when) __THROW;
                         ^~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/time.h:196:8: error: ‘time_t’ does not name a type
 extern time_t timegm (struct tm *__tp) __THROW;
        ^~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/time.h:199:8: error: ‘time_t’ does not name a type
 extern time_t timelocal (struct tm *__tp) __THROW;
        ^~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/time.h:216:26: error: ‘clockid_t’ was not declared in this scope
 extern int clock_getres (clockid_t __clock_id, struct timespec *__res) __THROW;
                          ^~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/time.h:216:48: error: expected primary-expression before ‘struct’
 extern int clock_getres (clockid_t __clock_id, struct timespec *__res) __THROW;
                                                ^~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/time.h:216:70: error: expression list treated as compound expression in initializer [-fpermissive]
 extern int clock_getres (clockid_t __clock_id, struct timespec *__res) __THROW;
                                                                      ^
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/time.h:219:27: error: ‘clockid_t’ was not declared in this scope
 extern int clock_gettime (clockid_t __clock_id, struct timespec *__tp) __THROW;
                           ^~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/time.h:219:49: error: expected primary-expression before ‘struct’
 extern int clock_gettime (clockid_t __clock_id, struct timespec *__tp) __THROW;
                                                 ^~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/time.h:219:70: error: expression list treated as compound expression in initializer [-fpermissive]
 extern int clock_gettime (clockid_t __clock_id, struct timespec *__tp) __THROW;
                                                                      ^
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/time.h:222:27: error: ‘clockid_t’ was not declared in this scope
 extern int clock_settime (clockid_t __clock_id, const struct timespec *__tp)
                           ^~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/time.h:222:49: error: expected primary-expression before ‘const’
 extern int clock_settime (clockid_t __clock_id, const struct timespec *__tp)
                                                 ^~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/time.h:222:76: error: expression list treated as compound expression in initializer [-fpermissive]
 extern int clock_settime (clockid_t __clock_id, const struct timespec *__tp)
                                                                            ^
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/time.h:230:29: error: ‘clockid_t’ was not declared in this scope
 extern int clock_nanosleep (clockid_t __clock_id, int __flags,
                             ^~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/time.h:230:51: error: expected primary-expression before ‘int’
 extern int clock_nanosleep (clockid_t __clock_id, int __flags,
                                                   ^~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/time.h:231:8: error: expected primary-expression before ‘const’
        const struct timespec *__req,
        ^~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/time.h:232:8: error: expected primary-expression before ‘struct’
        struct timespec *__rem);
        ^~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/time.h:232:30: error: expression list treated as compound expression in initializer [-fpermissive]
        struct timespec *__rem);
                              ^
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/time.h:235:33: error: ‘pid_t’ was not declared in this scope
 extern int clock_getcpuclockid (pid_t __pid, clockid_t *__clock_id) __THROW;
                                 ^~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/time.h:235:46: error: ‘clockid_t’ was not declared in this scope
 extern int clock_getcpuclockid (pid_t __pid, clockid_t *__clock_id) __THROW;
                                              ^~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/time.h:235:57: error: ‘__clock_id’ was not declared in this scope
 extern int clock_getcpuclockid (pid_t __pid, clockid_t *__clock_id) __THROW;
                                                         ^~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/time.h:235:67: error: expression list treated as compound expression in initializer [-fpermissive]
 extern int clock_getcpuclockid (pid_t __pid, clockid_t *__clock_id) __THROW;
                                                                   ^
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/time.h:240:26: error: ‘clockid_t’ was not declared in this scope
 extern int timer_create (clockid_t __clock_id,
                          ^~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/time.h:241:5: error: expected primary-expression before ‘struct’
     struct sigevent *__restrict __evp,
     ^~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/time.h:242:5: error: ‘timer_t’ was not declared in this scope
     timer_t *__restrict __timerid) __THROW;
     ^~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/time.h:242:14: error: expected primary-expression before ‘__restrict’
     timer_t *__restrict __timerid) __THROW;
              ^~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/time.h:242:34: error: expression list treated as compound expression in initializer [-fpermissive]
     timer_t *__restrict __timerid) __THROW;
                                  ^
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/time.h:245:26: error: ‘timer_t’ was not declared in this scope
 extern int timer_delete (timer_t __timerid) __THROW;
                          ^~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/time.h:248:27: error: ‘timer_t’ was not declared in this scope
 extern int timer_settime (timer_t __timerid, int __flags,
                           ^~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/time.h:248:46: error: expected primary-expression before ‘int’
 extern int timer_settime (timer_t __timerid, int __flags,
                                              ^~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/time.h:249:6: error: expected primary-expression before ‘const’
      const struct itimerspec *__restrict __value,
      ^~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/time.h:250:6: error: expected primary-expression before ‘struct’
      struct itimerspec *__restrict __ovalue) __THROW;
      ^~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/time.h:250:44: error: expression list treated as compound expression in initializer [-fpermissive]
      struct itimerspec *__restrict __ovalue) __THROW;
                                            ^
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/time.h:253:27: error: ‘timer_t’ was not declared in this scope
 extern int timer_gettime (timer_t __timerid, struct itimerspec *__value)
                           ^~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/time.h:253:46: error: expected primary-expression before ‘struct’
 extern int timer_gettime (timer_t __timerid, struct itimerspec *__value)
                                              ^~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/time.h:253:72: error: expression list treated as compound expression in initializer [-fpermissive]
 extern int timer_gettime (timer_t __timerid, struct itimerspec *__value)
                                                                        ^
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/time.h:257:30: error: ‘timer_t’ was not declared in this scope
 extern int timer_getoverrun (timer_t __timerid) __THROW;
                              ^~~~~~~
In file included from /tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr-default.h:35:0,
                 from /tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr.h:148,
                 from /tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/ext/atomicity.h:35,
                 from /tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/bits/basic_string.h:39,
                 from /tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/string:52,
                 from /tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/stdexcept:39,
                 from /tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/array:39,
                 from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/opencv2/core/cvdef.h:474,
                 from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/opencv2/core.hpp:52,
                 from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/opencv2/opencv.hpp:52,
                 from /home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/include/common/xf_headers.hpp:23,
                 from ../../../../xf_resize_tb.cpp:17:
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:234:28: error: ‘pthread_t’ was not declared in this scope
 extern int pthread_create (pthread_t *__restrict __newthread,
                            ^~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:234:39: error: expected primary-expression before ‘__restrict’
 extern int pthread_create (pthread_t *__restrict __newthread,
                                       ^~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:235:7: error: expected primary-expression before ‘const’
       const pthread_attr_t *__restrict __attr,
       ^~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:236:7: error: expected primary-expression before ‘void’
       void *(*__start_routine) (void *),
       ^~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:237:7: error: expected primary-expression before ‘void’
       void *__restrict __arg) __THROWNL __nonnull ((1, 3));
       ^~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:237:29: error: expression list treated as compound expression in initializer [-fpermissive]
       void *__restrict __arg) __THROWNL __nonnull ((1, 3));
                             ^
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:251:26: error: ‘pthread_t’ was not declared in this scope
 extern int pthread_join (pthread_t __th, void **__thread_return);
                          ^~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:251:42: error: expected primary-expression before ‘void’
 extern int pthread_join (pthread_t __th, void **__thread_return);
                                          ^~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:251:64: error: expression list treated as compound expression in initializer [-fpermissive]
 extern int pthread_join (pthread_t __th, void **__thread_return);
                                                                ^
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:256:32: error: ‘pthread_t’ was not declared in this scope
 extern int pthread_tryjoin_np (pthread_t __th, void **__thread_return) __THROW;
                                ^~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:256:48: error: expected primary-expression before ‘void’
 extern int pthread_tryjoin_np (pthread_t __th, void **__thread_return) __THROW;
                                                ^~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:256:70: error: expression list treated as compound expression in initializer [-fpermissive]
 extern int pthread_tryjoin_np (pthread_t __th, void **__thread_return) __THROW;
                                                                      ^
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:264:34: error: ‘pthread_t’ was not declared in this scope
 extern int pthread_timedjoin_np (pthread_t __th, void **__thread_return,
                                  ^~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:264:50: error: expected primary-expression before ‘void’
 extern int pthread_timedjoin_np (pthread_t __th, void **__thread_return,
                                                  ^~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:265:6: error: expected primary-expression before ‘const’
      const struct timespec *__abstime);
      ^~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:265:38: error: expression list treated as compound expression in initializer [-fpermissive]
      const struct timespec *__abstime);
                                      ^
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:272:28: error: ‘pthread_t’ was not declared in this scope
 extern int pthread_detach (pthread_t __th) __THROW;
                            ^~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:276:8: error: ‘pthread_t’ does not name a type
 extern pthread_t pthread_self (void) __THROW __attribute__ ((__const__));
        ^~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:279:27: error: ‘pthread_t’ was not declared in this scope
 extern int pthread_equal (pthread_t __thread1, pthread_t __thread2)
                           ^~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:279:48: error: ‘pthread_t’ was not declared in this scope
 extern int pthread_equal (pthread_t __thread1, pthread_t __thread2)
                                                ^~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:279:67: error: expression list treated as compound expression in initializer [-fpermissive]
 extern int pthread_equal (pthread_t __thread1, pthread_t __thread2)
                                                                   ^
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:288:31: error: ‘pthread_attr_t’ was not declared in this scope
 extern int pthread_attr_init (pthread_attr_t *__attr) __THROW __nonnull ((1));
                               ^~~~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:288:47: error: ‘__attr’ was not declared in this scope
 extern int pthread_attr_init (pthread_attr_t *__attr) __THROW __nonnull ((1));
                                               ^~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:291:34: error: ‘pthread_attr_t’ was not declared in this scope
 extern int pthread_attr_destroy (pthread_attr_t *__attr)
                                  ^~~~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:291:50: error: ‘__attr’ was not declared in this scope
 extern int pthread_attr_destroy (pthread_attr_t *__attr)
                                                  ^~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:295:47: error: ‘pthread_attr_t’ does not name a type
 extern int pthread_attr_getdetachstate (const pthread_attr_t *__attr,
                                               ^~~~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:300:41: error: ‘pthread_attr_t’ was not declared in this scope
 extern int pthread_attr_setdetachstate (pthread_attr_t *__attr,
                                         ^~~~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:300:57: error: ‘__attr’ was not declared in this scope
 extern int pthread_attr_setdetachstate (pthread_attr_t *__attr,
                                                         ^~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:301:6: error: expected primary-expression before ‘int’
      int __detachstate)
      ^~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:301:23: error: expression list treated as compound expression in initializer [-fpermissive]
      int __detachstate)
                       ^
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:306:45: error: ‘pthread_attr_t’ does not name a type
 extern int pthread_attr_getguardsize (const pthread_attr_t *__attr,
                                             ^~~~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:311:39: error: ‘pthread_attr_t’ was not declared in this scope
 extern int pthread_attr_setguardsize (pthread_attr_t *__attr,
                                       ^~~~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:311:55: error: ‘__attr’ was not declared in this scope
 extern int pthread_attr_setguardsize (pthread_attr_t *__attr,
                                                       ^~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:312:18: error: expected primary-expression before ‘__guardsize’
           size_t __guardsize)
                  ^~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:312:29: error: expression list treated as compound expression in initializer [-fpermissive]
           size_t __guardsize)
                             ^
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:317:46: error: ‘pthread_attr_t’ does not name a type
 extern int pthread_attr_getschedparam (const pthread_attr_t *__restrict __attr,
                                              ^~~~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:322:40: error: ‘pthread_attr_t’ was not declared in this scope
 extern int pthread_attr_setschedparam (pthread_attr_t *__restrict __attr,
                                        ^~~~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:322:56: error: expected primary-expression before ‘__restrict’
 extern int pthread_attr_setschedparam (pthread_attr_t *__restrict __attr,
                                                        ^~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:323:12: error: expected primary-expression before ‘const’
            const struct sched_param *__restrict
            ^~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:324:19: error: expression list treated as compound expression in initializer [-fpermissive]
            __param) __THROW __nonnull ((1, 2));
                   ^
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:327:47: error: ‘pthread_attr_t’ does not name a type
 extern int pthread_attr_getschedpolicy (const pthread_attr_t *__restrict
                                               ^~~~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:332:41: error: ‘pthread_attr_t’ was not declared in this scope
 extern int pthread_attr_setschedpolicy (pthread_attr_t *__attr, int __policy)
                                         ^~~~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:332:57: error: ‘__attr’ was not declared in this scope
 extern int pthread_attr_setschedpolicy (pthread_attr_t *__attr, int __policy)
                                                         ^~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:332:65: error: expected primary-expression before ‘int’
 extern int pthread_attr_setschedpolicy (pthread_attr_t *__attr, int __policy)
                                                                 ^~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:332:77: error: expression list treated as compound expression in initializer [-fpermissive]
 extern int pthread_attr_setschedpolicy (pthread_attr_t *__attr, int __policy)
                                                                             ^
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:336:48: error: ‘pthread_attr_t’ does not name a type
 extern int pthread_attr_getinheritsched (const pthread_attr_t *__restrict
                                                ^~~~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:341:42: error: ‘pthread_attr_t’ was not declared in this scope
 extern int pthread_attr_setinheritsched (pthread_attr_t *__attr,
                                          ^~~~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:341:58: error: ‘__attr’ was not declared in this scope
 extern int pthread_attr_setinheritsched (pthread_attr_t *__attr,
                                                          ^~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:342:7: error: expected primary-expression before ‘int’
       int __inherit)
       ^~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:342:20: error: expression list treated as compound expression in initializer [-fpermissive]
       int __inherit)
                    ^
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:347:41: error: ‘pthread_attr_t’ does not name a type
 extern int pthread_attr_getscope (const pthread_attr_t *__restrict __attr,
                                         ^~~~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:352:35: error: ‘pthread_attr_t’ was not declared in this scope
 extern int pthread_attr_setscope (pthread_attr_t *__attr, int __scope)
                                   ^~~~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:352:51: error: ‘__attr’ was not declared in this scope
 extern int pthread_attr_setscope (pthread_attr_t *__attr, int __scope)
                                                   ^~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:352:59: error: expected primary-expression before ‘int’
 extern int pthread_attr_setscope (pthread_attr_t *__attr, int __scope)
                                                           ^~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:352:70: error: expression list treated as compound expression in initializer [-fpermissive]
 extern int pthread_attr_setscope (pthread_attr_t *__attr, int __scope)
                                                                      ^
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:356:45: error: ‘pthread_attr_t’ does not name a type
 extern int pthread_attr_getstackaddr (const pthread_attr_t *__restrict
                                             ^~~~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:364:39: error: ‘pthread_attr_t’ was not declared in this scope
 extern int pthread_attr_setstackaddr (pthread_attr_t *__attr,
                                       ^~~~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:364:55: error: ‘__attr’ was not declared in this scope
 extern int pthread_attr_setstackaddr (pthread_attr_t *__attr,
                                                       ^~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:365:11: error: expected primary-expression before ‘void’
           void *__stackaddr)
           ^~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:365:28: error: expression list treated as compound expression in initializer [-fpermissive]
           void *__stackaddr)
                            ^
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:369:45: error: ‘pthread_attr_t’ does not name a type
 extern int pthread_attr_getstacksize (const pthread_attr_t *__restrict
                                             ^~~~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:376:39: error: ‘pthread_attr_t’ was not declared in this scope
 extern int pthread_attr_setstacksize (pthread_attr_t *__attr,
                                       ^~~~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:376:55: error: ‘__attr’ was not declared in this scope
 extern int pthread_attr_setstacksize (pthread_attr_t *__attr,
                                                       ^~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:377:18: error: expected primary-expression before ‘__stacksize’
           size_t __stacksize)
                  ^~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:377:29: error: expression list treated as compound expression in initializer [-fpermissive]
           size_t __stacksize)
                             ^
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:382:41: error: ‘pthread_attr_t’ does not name a type
 extern int pthread_attr_getstack (const pthread_attr_t *__restrict __attr,
                                         ^~~~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:390:35: error: ‘pthread_attr_t’ was not declared in this scope
 extern int pthread_attr_setstack (pthread_attr_t *__attr, void *__stackaddr,
                                   ^~~~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:390:51: error: ‘__attr’ was not declared in this scope
 extern int pthread_attr_setstack (pthread_attr_t *__attr, void *__stackaddr,
                                                   ^~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:390:59: error: expected primary-expression before ‘void’
 extern int pthread_attr_setstack (pthread_attr_t *__attr, void *__stackaddr,
                                                           ^~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:391:14: error: expected primary-expression before ‘__stacksize’
       size_t __stacksize) __THROW __nonnull ((1));
              ^~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:391:25: error: expression list treated as compound expression in initializer [-fpermissive]
       size_t __stacksize) __THROW __nonnull ((1));
                         ^
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:397:41: error: ‘pthread_attr_t’ was not declared in this scope
 extern int pthread_attr_setaffinity_np (pthread_attr_t *__attr,
                                         ^~~~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:397:57: error: ‘__attr’ was not declared in this scope
 extern int pthread_attr_setaffinity_np (pthread_attr_t *__attr,
                                                         ^~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:398:13: error: expected primary-expression before ‘__cpusetsize’
      size_t __cpusetsize,
             ^~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:399:6: error: expected primary-expression before ‘const’
      const cpu_set_t *__cpuset)
      ^~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:399:31: error: expression list treated as compound expression in initializer [-fpermissive]
      const cpu_set_t *__cpuset)
                               ^
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:404:47: error: ‘pthread_attr_t’ does not name a type
 extern int pthread_attr_getaffinity_np (const pthread_attr_t *__attr,
                                               ^~~~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:410:40: error: ‘pthread_attr_t’ was not declared in this scope
 extern int pthread_getattr_default_np (pthread_attr_t *__attr)
                                        ^~~~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:410:56: error: ‘__attr’ was not declared in this scope
 extern int pthread_getattr_default_np (pthread_attr_t *__attr)
                                                        ^~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:415:46: error: ‘pthread_attr_t’ does not name a type
 extern int pthread_setattr_default_np (const pthread_attr_t *__attr)
                                              ^~~~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:421:32: error: ‘pthread_t’ was not declared in this scope
 extern int pthread_getattr_np (pthread_t __th, pthread_attr_t *__attr)
                                ^~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:421:48: error: ‘pthread_attr_t’ was not declared in this scope
 extern int pthread_getattr_np (pthread_t __th, pthread_attr_t *__attr)
                                                ^~~~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:421:64: error: ‘__attr’ was not declared in this scope
 extern int pthread_getattr_np (pthread_t __th, pthread_attr_t *__attr)
                                                                ^~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:421:70: error: expression list treated as compound expression in initializer [-fpermissive]
 extern int pthread_getattr_np (pthread_t __th, pthread_attr_t *__attr)
                                                                      ^
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:430:35: error: ‘pthread_t’ was not declared in this scope
 extern int pthread_setschedparam (pthread_t __target_thread, int __policy,
                                   ^~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:430:62: error: expected primary-expression before ‘int’
 extern int pthread_setschedparam (pthread_t __target_thread, int __policy,
                                                              ^~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:431:7: error: expected primary-expression before ‘const’
       const struct sched_param *__param)
       ^~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:431:40: error: expression list treated as compound expression in initializer [-fpermissive]
       const struct sched_param *__param)
                                        ^
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:435:35: error: ‘pthread_t’ was not declared in this scope
 extern int pthread_getschedparam (pthread_t __target_thread,
                                   ^~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:436:7: error: expected primary-expression before ‘int’
       int *__restrict __policy,
       ^~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:437:7: error: expected primary-expression before ‘struct’
       struct sched_param *__restrict __param)
       ^~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:437:45: error: expression list treated as compound expression in initializer [-fpermissive]
       struct sched_param *__restrict __param)
                                             ^
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:441:34: error: ‘pthread_t’ was not declared in this scope
 extern int pthread_setschedprio (pthread_t __target_thread, int __prio)
                                  ^~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:441:61: error: expected primary-expression before ‘int’
 extern int pthread_setschedprio (pthread_t __target_thread, int __prio)
                                                             ^~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:441:71: error: expression list treated as compound expression in initializer [-fpermissive]
 extern int pthread_setschedprio (pthread_t __target_thread, int __prio)
                                                                       ^
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:447:32: error: ‘pthread_t’ was not declared in this scope
 extern int pthread_getname_np (pthread_t __target_thread, char *__buf,
                                ^~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:447:59: error: expected primary-expression before ‘char’
 extern int pthread_getname_np (pthread_t __target_thread, char *__buf,
                                                           ^~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:448:18: error: expected primary-expression before ‘__buflen’
           size_t __buflen)
                  ^~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:448:26: error: expression list treated as compound expression in initializer [-fpermissive]
           size_t __buflen)
                          ^
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:452:32: error: ‘pthread_t’ was not declared in this scope
 extern int pthread_setname_np (pthread_t __target_thread, const char *__name)
                                ^~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:452:59: error: expected primary-expression before ‘const’
 extern int pthread_setname_np (pthread_t __target_thread, const char *__name)
                                                           ^~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:452:77: error: expression list treated as compound expression in initializer [-fpermissive]
 extern int pthread_setname_np (pthread_t __target_thread, const char *__name)
                                                                             ^
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:475:36: error: ‘pthread_t’ was not declared in this scope
 extern int pthread_setaffinity_np (pthread_t __th, size_t __cpusetsize,
                                    ^~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:475:59: error: expected primary-expression before ‘__cpusetsize’
 extern int pthread_setaffinity_np (pthread_t __th, size_t __cpusetsize,
                                                           ^~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:476:8: error: expected primary-expression before ‘const’
        const cpu_set_t *__cpuset)
        ^~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:476:33: error: expression list treated as compound expression in initializer [-fpermissive]
        const cpu_set_t *__cpuset)
                                 ^
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:480:36: error: ‘pthread_t’ was not declared in this scope
 extern int pthread_getaffinity_np (pthread_t __th, size_t __cpusetsize,
                                    ^~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:480:59: error: expected primary-expression before ‘__cpusetsize’
 extern int pthread_getaffinity_np (pthread_t __th, size_t __cpusetsize,
                                                           ^~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:481:18: error: expected primary-expression before ‘*’ token
        cpu_set_t *__cpuset)
                  ^
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:481:19: error: ‘__cpuset’ was not declared in this scope
        cpu_set_t *__cpuset)
                   ^~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:481:27: error: expression list treated as compound expression in initializer [-fpermissive]
        cpu_set_t *__cpuset)
                           ^
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:495:26: error: ‘pthread_once_t’ was not declared in this scope
 extern int pthread_once (pthread_once_t *__once_control,
                          ^~~~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:495:42: error: ‘__once_control’ was not declared in this scope
 extern int pthread_once (pthread_once_t *__once_control,
                                          ^~~~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:496:12: error: ‘__init_routine’ was not declared in this scope
     void (*__init_routine) (void)) __nonnull ((1, 2));
            ^~~~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:496:29: error: expected primary-expression before ‘void’
     void (*__init_routine) (void)) __nonnull ((1, 2));
                             ^~~~
In file included from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/features.h:450:0,
                 from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/bits/libc-header-start.h:33,
                 from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/stdio.h:27,
                 from /home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/include/common/xf_headers.hpp:20,
                 from ../../../../xf_resize_tb.cpp:17:
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/sys/cdefs.h:293:63: warning: attributes after parenthesized initializer ignored [-Wattributes]
 # define __nonnull(params) __attribute__ ((__nonnull__ params))
                                                               ^
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/sys/cdefs.h:293:63: error: expression list treated as compound expression in initializer [-fpermissive]
In file included from /tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr-default.h:35:0,
                 from /tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr.h:148,
                 from /tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/ext/atomicity.h:35,
                 from /tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/bits/basic_string.h:39,
                 from /tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/string:52,
                 from /tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/stdexcept:39,
                 from /tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/array:39,
                 from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/opencv2/core/cvdef.h:474,
                 from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/opencv2/core.hpp:52,
                 from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/opencv2/opencv.hpp:52,
                 from /home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/include/common/xf_headers.hpp:23,
                 from ../../../../xf_resize_tb.cpp:17:
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:514:28: error: ‘pthread_t’ was not declared in this scope
 extern int pthread_cancel (pthread_t __th);
                            ^~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:528:5: error: ‘__jmp_buf’ does not name a type
     __jmp_buf __cancel_jmp_buf;
     ^~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:750:32: error: ‘pthread_mutex_t’ was not declared in this scope
 extern int pthread_mutex_init (pthread_mutex_t *__mutex,
                                ^~~~~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:750:49: error: ‘__mutex’ was not declared in this scope
 extern int pthread_mutex_init (pthread_mutex_t *__mutex,
                                                 ^~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:751:11: error: expected primary-expression before ‘const’
           const pthread_mutexattr_t *__mutexattr)
           ^~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:751:49: error: expression list treated as compound expression in initializer [-fpermissive]
           const pthread_mutexattr_t *__mutexattr)
                                                 ^
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:755:35: error: ‘pthread_mutex_t’ was not declared in this scope
 extern int pthread_mutex_destroy (pthread_mutex_t *__mutex)
                                   ^~~~~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:755:52: error: ‘__mutex’ was not declared in this scope
 extern int pthread_mutex_destroy (pthread_mutex_t *__mutex)
                                                    ^~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:759:35: error: ‘pthread_mutex_t’ was not declared in this scope
 extern int pthread_mutex_trylock (pthread_mutex_t *__mutex)
                                   ^~~~~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:759:52: error: ‘__mutex’ was not declared in this scope
 extern int pthread_mutex_trylock (pthread_mutex_t *__mutex)
                                                    ^~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:763:32: error: ‘pthread_mutex_t’ was not declared in this scope
 extern int pthread_mutex_lock (pthread_mutex_t *__mutex)
                                ^~~~~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:763:49: error: ‘__mutex’ was not declared in this scope
 extern int pthread_mutex_lock (pthread_mutex_t *__mutex)
                                                 ^~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:768:37: error: ‘pthread_mutex_t’ was not declared in this scope
 extern int pthread_mutex_timedlock (pthread_mutex_t *__restrict __mutex,
                                     ^~~~~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:768:54: error: expected primary-expression before ‘__restrict’
 extern int pthread_mutex_timedlock (pthread_mutex_t *__restrict __mutex,
                                                      ^~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:769:9: error: expected primary-expression before ‘const’
         const struct timespec *__restrict
         ^~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:770:18: error: expression list treated as compound expression in initializer [-fpermissive]
         __abstime) __THROWNL __nonnull ((1, 2));
                  ^
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:774:37: error: ‘pthread_mutex_t’ was not declared in this scope
 extern int pthread_mutex_clocklock (pthread_mutex_t *__restrict __mutex,
                                     ^~~~~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:774:54: error: expected primary-expression before ‘__restrict’
 extern int pthread_mutex_clocklock (pthread_mutex_t *__restrict __mutex,
                                                      ^~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:775:9: error: ‘clockid_t’ was not declared in this scope
         clockid_t __clockid,
         ^~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:776:9: error: expected primary-expression before ‘const’
         const struct timespec *__restrict
         ^~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:777:18: error: expression list treated as compound expression in initializer [-fpermissive]
         __abstime) __THROWNL __nonnull ((1, 3));
                  ^
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:781:34: error: ‘pthread_mutex_t’ was not declared in this scope
 extern int pthread_mutex_unlock (pthread_mutex_t *__mutex)
                                  ^~~~~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:781:51: error: ‘__mutex’ was not declared in this scope
 extern int pthread_mutex_unlock (pthread_mutex_t *__mutex)
                                                   ^~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:786:48: error: ‘pthread_mutex_t’ does not name a type
 extern int pthread_mutex_getprioceiling (const pthread_mutex_t *
                                                ^~~~~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:793:42: error: ‘pthread_mutex_t’ was not declared in this scope
 extern int pthread_mutex_setprioceiling (pthread_mutex_t *__restrict __mutex,
                                          ^~~~~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:793:59: error: expected primary-expression before ‘__restrict’
 extern int pthread_mutex_setprioceiling (pthread_mutex_t *__restrict __mutex,
                                                           ^~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:794:7: error: expected primary-expression before ‘int’
       int __prioceiling,
       ^~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:795:7: error: expected primary-expression before ‘int’
       int *__restrict __old_ceiling)
       ^~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:795:36: error: expression list treated as compound expression in initializer [-fpermissive]
       int *__restrict __old_ceiling)
                                    ^
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:801:38: error: ‘pthread_mutex_t’ was not declared in this scope
 extern int pthread_mutex_consistent (pthread_mutex_t *__mutex)
                                      ^~~~~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:801:55: error: ‘__mutex’ was not declared in this scope
 extern int pthread_mutex_consistent (pthread_mutex_t *__mutex)
                                                       ^~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:804:41: error: ‘pthread_mutex_t’ was not declared in this scope
 extern int pthread_mutex_consistent_np (pthread_mutex_t *__mutex)
                                         ^~~~~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:804:58: error: ‘__mutex’ was not declared in this scope
 extern int pthread_mutex_consistent_np (pthread_mutex_t *__mutex)
                                                          ^~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:814:36: error: ‘pthread_mutexattr_t’ was not declared in this scope
 extern int pthread_mutexattr_init (pthread_mutexattr_t *__attr)
                                    ^~~~~~~~~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:814:57: error: ‘__attr’ was not declared in this scope
 extern int pthread_mutexattr_init (pthread_mutexattr_t *__attr)
                                                         ^~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:818:39: error: ‘pthread_mutexattr_t’ was not declared in this scope
 extern int pthread_mutexattr_destroy (pthread_mutexattr_t *__attr)
                                       ^~~~~~~~~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:818:60: error: ‘__attr’ was not declared in this scope
 extern int pthread_mutexattr_destroy (pthread_mutexattr_t *__attr)
                                                            ^~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:822:48: error: ‘pthread_mutexattr_t’ does not name a type
 extern int pthread_mutexattr_getpshared (const pthread_mutexattr_t *
                                                ^~~~~~~~~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:828:42: error: ‘pthread_mutexattr_t’ was not declared in this scope
 extern int pthread_mutexattr_setpshared (pthread_mutexattr_t *__attr,
                                          ^~~~~~~~~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:828:63: error: ‘__attr’ was not declared in this scope
 extern int pthread_mutexattr_setpshared (pthread_mutexattr_t *__attr,
                                                               ^~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:829:7: error: expected primary-expression before ‘int’
       int __pshared)
       ^~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:829:20: error: expression list treated as compound expression in initializer [-fpermissive]
       int __pshared)
                    ^
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:834:45: error: ‘pthread_mutexattr_t’ does not name a type
 extern int pthread_mutexattr_gettype (const pthread_mutexattr_t *__restrict
                                             ^~~~~~~~~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:841:39: error: ‘pthread_mutexattr_t’ was not declared in this scope
 extern int pthread_mutexattr_settype (pthread_mutexattr_t *__attr, int __kind)
                                       ^~~~~~~~~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:841:60: error: ‘__attr’ was not declared in this scope
 extern int pthread_mutexattr_settype (pthread_mutexattr_t *__attr, int __kind)
                                                            ^~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:841:68: error: expected primary-expression before ‘int’
 extern int pthread_mutexattr_settype (pthread_mutexattr_t *__attr, int __kind)
                                                                    ^~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:841:78: error: expression list treated as compound expression in initializer [-fpermissive]
 extern int pthread_mutexattr_settype (pthread_mutexattr_t *__attr, int __kind)
                                                                              ^
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:846:49: error: ‘pthread_mutexattr_t’ does not name a type
 extern int pthread_mutexattr_getprotocol (const pthread_mutexattr_t *
                                                 ^~~~~~~~~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:853:43: error: ‘pthread_mutexattr_t’ was not declared in this scope
 extern int pthread_mutexattr_setprotocol (pthread_mutexattr_t *__attr,
                                           ^~~~~~~~~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:853:64: error: ‘__attr’ was not declared in this scope
 extern int pthread_mutexattr_setprotocol (pthread_mutexattr_t *__attr,
                                                                ^~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:854:8: error: expected primary-expression before ‘int’
        int __protocol)
        ^~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:854:22: error: expression list treated as compound expression in initializer [-fpermissive]
        int __protocol)
                      ^
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:858:52: error: ‘pthread_mutexattr_t’ does not name a type
 extern int pthread_mutexattr_getprioceiling (const pthread_mutexattr_t *
                                                    ^~~~~~~~~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:864:46: error: ‘pthread_mutexattr_t’ was not declared in this scope
 extern int pthread_mutexattr_setprioceiling (pthread_mutexattr_t *__attr,
                                              ^~~~~~~~~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:864:67: error: ‘__attr’ was not declared in this scope
 extern int pthread_mutexattr_setprioceiling (pthread_mutexattr_t *__attr,
                                                                   ^~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:865:11: error: expected primary-expression before ‘int’
           int __prioceiling)
           ^~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:865:28: error: expression list treated as compound expression in initializer [-fpermissive]
           int __prioceiling)
                            ^
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:870:47: error: ‘pthread_mutexattr_t’ does not name a type
 extern int pthread_mutexattr_getrobust (const pthread_mutexattr_t *__attr,
                                               ^~~~~~~~~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:874:50: error: ‘pthread_mutexattr_t’ does not name a type
 extern int pthread_mutexattr_getrobust_np (const pthread_mutexattr_t *__attr,
                                                  ^~~~~~~~~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:880:41: error: ‘pthread_mutexattr_t’ was not declared in this scope
 extern int pthread_mutexattr_setrobust (pthread_mutexattr_t *__attr,
                                         ^~~~~~~~~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:880:62: error: ‘__attr’ was not declared in this scope
 extern int pthread_mutexattr_setrobust (pthread_mutexattr_t *__attr,
                                                              ^~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:881:6: error: expected primary-expression before ‘int’
      int __robustness)
      ^~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:881:22: error: expression list treated as compound expression in initializer [-fpermissive]
      int __robustness)
                      ^
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:884:44: error: ‘pthread_mutexattr_t’ was not declared in this scope
 extern int pthread_mutexattr_setrobust_np (pthread_mutexattr_t *__attr,
                                            ^~~~~~~~~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:884:65: error: ‘__attr’ was not declared in this scope
 extern int pthread_mutexattr_setrobust_np (pthread_mutexattr_t *__attr,
                                                                 ^~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:885:9: error: expected primary-expression before ‘int’
         int __robustness)
         ^~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:885:25: error: expression list treated as compound expression in initializer [-fpermissive]
         int __robustness)
                         ^
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:896:33: error: ‘pthread_rwlock_t’ was not declared in this scope
 extern int pthread_rwlock_init (pthread_rwlock_t *__restrict __rwlock,
                                 ^~~~~~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:896:51: error: expected primary-expression before ‘__restrict’
 extern int pthread_rwlock_init (pthread_rwlock_t *__restrict __rwlock,
                                                   ^~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:897:5: error: expected primary-expression before ‘const’
     const pthread_rwlockattr_t *__restrict
     ^~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:898:11: error: expression list treated as compound expression in initializer [-fpermissive]
     __attr) __THROW __nonnull ((1));
           ^
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:901:36: error: ‘pthread_rwlock_t’ was not declared in this scope
 extern int pthread_rwlock_destroy (pthread_rwlock_t *__rwlock)
                                    ^~~~~~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:901:54: error: ‘__rwlock’ was not declared in this scope
 extern int pthread_rwlock_destroy (pthread_rwlock_t *__rwlock)
                                                      ^~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:905:35: error: ‘pthread_rwlock_t’ was not declared in this scope
 extern int pthread_rwlock_rdlock (pthread_rwlock_t *__rwlock)
                                   ^~~~~~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:905:53: error: ‘__rwlock’ was not declared in this scope
 extern int pthread_rwlock_rdlock (pthread_rwlock_t *__rwlock)
                                                     ^~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:909:38: error: ‘pthread_rwlock_t’ was not declared in this scope
 extern int pthread_rwlock_tryrdlock (pthread_rwlock_t *__rwlock)
                                      ^~~~~~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:909:56: error: ‘__rwlock’ was not declared in this scope
 extern int pthread_rwlock_tryrdlock (pthread_rwlock_t *__rwlock)
                                                        ^~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:914:40: error: ‘pthread_rwlock_t’ was not declared in this scope
 extern int pthread_rwlock_timedrdlock (pthread_rwlock_t *__restrict __rwlock,
                                        ^~~~~~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:914:58: error: expected primary-expression before ‘__restrict’
 extern int pthread_rwlock_timedrdlock (pthread_rwlock_t *__restrict __rwlock,
                                                          ^~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:915:12: error: expected primary-expression before ‘const’
            const struct timespec *__restrict
            ^~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:916:21: error: expression list treated as compound expression in initializer [-fpermissive]
            __abstime) __THROWNL __nonnull ((1, 2));
                     ^
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:920:40: error: ‘pthread_rwlock_t’ was not declared in this scope
 extern int pthread_rwlock_clockrdlock (pthread_rwlock_t *__restrict __rwlock,
                                        ^~~~~~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:920:58: error: expected primary-expression before ‘__restrict’
 extern int pthread_rwlock_clockrdlock (pthread_rwlock_t *__restrict __rwlock,
                                                          ^~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:921:12: error: ‘clockid_t’ was not declared in this scope
            clockid_t __clockid,
            ^~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:922:12: error: expected primary-expression before ‘const’
            const struct timespec *__restrict
            ^~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:923:21: error: expression list treated as compound expression in initializer [-fpermissive]
            __abstime) __THROWNL __nonnull ((1, 3));
                     ^
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:927:35: error: ‘pthread_rwlock_t’ was not declared in this scope
 extern int pthread_rwlock_wrlock (pthread_rwlock_t *__rwlock)
                                   ^~~~~~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:927:53: error: ‘__rwlock’ was not declared in this scope
 extern int pthread_rwlock_wrlock (pthread_rwlock_t *__rwlock)
                                                     ^~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:931:38: error: ‘pthread_rwlock_t’ was not declared in this scope
 extern int pthread_rwlock_trywrlock (pthread_rwlock_t *__rwlock)
                                      ^~~~~~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:931:56: error: ‘__rwlock’ was not declared in this scope
 extern int pthread_rwlock_trywrlock (pthread_rwlock_t *__rwlock)
                                                        ^~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:936:40: error: ‘pthread_rwlock_t’ was not declared in this scope
 extern int pthread_rwlock_timedwrlock (pthread_rwlock_t *__restrict __rwlock,
                                        ^~~~~~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:936:58: error: expected primary-expression before ‘__restrict’
 extern int pthread_rwlock_timedwrlock (pthread_rwlock_t *__restrict __rwlock,
                                                          ^~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:937:12: error: expected primary-expression before ‘const’
            const struct timespec *__restrict
            ^~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:938:21: error: expression list treated as compound expression in initializer [-fpermissive]
            __abstime) __THROWNL __nonnull ((1, 2));
                     ^
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:942:40: error: ‘pthread_rwlock_t’ was not declared in this scope
 extern int pthread_rwlock_clockwrlock (pthread_rwlock_t *__restrict __rwlock,
                                        ^~~~~~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:942:58: error: expected primary-expression before ‘__restrict’
 extern int pthread_rwlock_clockwrlock (pthread_rwlock_t *__restrict __rwlock,
                                                          ^~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:943:12: error: ‘clockid_t’ was not declared in this scope
            clockid_t __clockid,
            ^~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:944:12: error: expected primary-expression before ‘const’
            const struct timespec *__restrict
            ^~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:945:21: error: expression list treated as compound expression in initializer [-fpermissive]
            __abstime) __THROWNL __nonnull ((1, 3));
                     ^
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:949:35: error: ‘pthread_rwlock_t’ was not declared in this scope
 extern int pthread_rwlock_unlock (pthread_rwlock_t *__rwlock)
                                   ^~~~~~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:949:53: error: ‘__rwlock’ was not declared in this scope
 extern int pthread_rwlock_unlock (pthread_rwlock_t *__rwlock)
                                                     ^~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:956:37: error: ‘pthread_rwlockattr_t’ was not declared in this scope
 extern int pthread_rwlockattr_init (pthread_rwlockattr_t *__attr)
                                     ^~~~~~~~~~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:956:59: error: ‘__attr’ was not declared in this scope
 extern int pthread_rwlockattr_init (pthread_rwlockattr_t *__attr)
                                                           ^~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:960:40: error: ‘pthread_rwlockattr_t’ was not declared in this scope
 extern int pthread_rwlockattr_destroy (pthread_rwlockattr_t *__attr)
                                        ^~~~~~~~~~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:960:62: error: ‘__attr’ was not declared in this scope
 extern int pthread_rwlockattr_destroy (pthread_rwlockattr_t *__attr)
                                                              ^~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:964:49: error: ‘pthread_rwlockattr_t’ does not name a type
 extern int pthread_rwlockattr_getpshared (const pthread_rwlockattr_t *
                                                 ^~~~~~~~~~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:970:43: error: ‘pthread_rwlockattr_t’ was not declared in this scope
 extern int pthread_rwlockattr_setpshared (pthread_rwlockattr_t *__attr,
                                           ^~~~~~~~~~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:970:65: error: ‘__attr’ was not declared in this scope
 extern int pthread_rwlockattr_setpshared (pthread_rwlockattr_t *__attr,
                                                                 ^~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:971:8: error: expected primary-expression before ‘int’
        int __pshared)
        ^~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:971:21: error: expression list treated as compound expression in initializer [-fpermissive]
        int __pshared)
                     ^
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:975:49: error: ‘pthread_rwlockattr_t’ does not name a type
 extern int pthread_rwlockattr_getkind_np (const pthread_rwlockattr_t *
                                                 ^~~~~~~~~~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:981:43: error: ‘pthread_rwlockattr_t’ was not declared in this scope
 extern int pthread_rwlockattr_setkind_np (pthread_rwlockattr_t *__attr,
                                           ^~~~~~~~~~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:981:65: error: ‘__attr’ was not declared in this scope
 extern int pthread_rwlockattr_setkind_np (pthread_rwlockattr_t *__attr,
                                                                 ^~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:982:8: error: expected primary-expression before ‘int’
        int __pref) __THROW __nonnull ((1));
        ^~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:982:18: error: expression list treated as compound expression in initializer [-fpermissive]
        int __pref) __THROW __nonnull ((1));
                  ^
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:990:31: error: ‘pthread_cond_t’ was not declared in this scope
 extern int pthread_cond_init (pthread_cond_t *__restrict __cond,
                               ^~~~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:990:47: error: expected primary-expression before ‘__restrict’
 extern int pthread_cond_init (pthread_cond_t *__restrict __cond,
                                               ^~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:991:10: error: expected primary-expression before ‘const’
          const pthread_condattr_t *__restrict __cond_attr)
          ^~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:991:58: error: expression list treated as compound expression in initializer [-fpermissive]
          const pthread_condattr_t *__restrict __cond_attr)
                                                          ^
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:995:34: error: ‘pthread_cond_t’ was not declared in this scope
 extern int pthread_cond_destroy (pthread_cond_t *__cond)
                                  ^~~~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:995:50: error: ‘__cond’ was not declared in this scope
 extern int pthread_cond_destroy (pthread_cond_t *__cond)
                                                  ^~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:999:33: error: ‘pthread_cond_t’ was not declared in this scope
 extern int pthread_cond_signal (pthread_cond_t *__cond)
                                 ^~~~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:999:49: error: ‘__cond’ was not declared in this scope
 extern int pthread_cond_signal (pthread_cond_t *__cond)
                                                 ^~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:1003:36: error: ‘pthread_cond_t’ was not declared in this scope
 extern int pthread_cond_broadcast (pthread_cond_t *__cond)
                                    ^~~~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:1003:52: error: ‘__cond’ was not declared in this scope
 extern int pthread_cond_broadcast (pthread_cond_t *__cond)
                                                    ^~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:1011:31: error: ‘pthread_cond_t’ was not declared in this scope
 extern int pthread_cond_wait (pthread_cond_t *__restrict __cond,
                               ^~~~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:1011:47: error: expected primary-expression before ‘__restrict’
 extern int pthread_cond_wait (pthread_cond_t *__restrict __cond,
                                               ^~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:1012:10: error: ‘pthread_mutex_t’ was not declared in this scope
          pthread_mutex_t *__restrict __mutex)
          ^~~~~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:1012:27: error: expected primary-expression before ‘__restrict’
          pthread_mutex_t *__restrict __mutex)
                           ^~~~~~~~~~
In file included from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/features.h:450:0,
                 from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/bits/libc-header-start.h:33,
                 from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/stdio.h:27,
                 from /home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/include/common/xf_headers.hpp:20,
                 from ../../../../xf_resize_tb.cpp:17:
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/sys/cdefs.h:293:63: warning: attributes after parenthesized initializer ignored [-Wattributes]
 # define __nonnull(params) __attribute__ ((__nonnull__ params))
                                                               ^
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/sys/cdefs.h:293:63: error: expression list treated as compound expression in initializer [-fpermissive]
In file included from /tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr-default.h:35:0,
                 from /tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr.h:148,
                 from /tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/ext/atomicity.h:35,
                 from /tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/bits/basic_string.h:39,
                 from /tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/string:52,
                 from /tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/stdexcept:39,
                 from /tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/array:39,
                 from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/opencv2/core/cvdef.h:474,
                 from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/opencv2/core.hpp:52,
                 from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/opencv2/opencv.hpp:52,
                 from /home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/include/common/xf_headers.hpp:23,
                 from ../../../../xf_resize_tb.cpp:17:
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:1022:36: error: ‘pthread_cond_t’ was not declared in this scope
 extern int pthread_cond_timedwait (pthread_cond_t *__restrict __cond,
                                    ^~~~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:1022:52: error: expected primary-expression before ‘__restrict’
 extern int pthread_cond_timedwait (pthread_cond_t *__restrict __cond,
                                                    ^~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:1023:8: error: ‘pthread_mutex_t’ was not declared in this scope
        pthread_mutex_t *__restrict __mutex,
        ^~~~~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:1023:25: error: expected primary-expression before ‘__restrict’
        pthread_mutex_t *__restrict __mutex,
                         ^~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:1024:8: error: expected primary-expression before ‘const’
        const struct timespec *__restrict __abstime)
        ^~~~~
In file included from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/features.h:450:0,
                 from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/bits/libc-header-start.h:33,
                 from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/stdio.h:27,
                 from /home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/include/common/xf_headers.hpp:20,
                 from ../../../../xf_resize_tb.cpp:17:
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/sys/cdefs.h:293:63: warning: attributes after parenthesized initializer ignored [-Wattributes]
 # define __nonnull(params) __attribute__ ((__nonnull__ params))
                                                               ^
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/sys/cdefs.h:293:63: error: expression list treated as compound expression in initializer [-fpermissive]
In file included from /tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr-default.h:35:0,
                 from /tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr.h:148,
                 from /tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/ext/atomicity.h:35,
                 from /tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/bits/basic_string.h:39,
                 from /tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/string:52,
                 from /tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/stdexcept:39,
                 from /tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/array:39,
                 from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/opencv2/core/cvdef.h:474,
                 from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/opencv2/core.hpp:52,
                 from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/opencv2/opencv.hpp:52,
                 from /home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/include/common/xf_headers.hpp:23,
                 from ../../../../xf_resize_tb.cpp:17:
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:1035:36: error: ‘pthread_cond_t’ was not declared in this scope
 extern int pthread_cond_clockwait (pthread_cond_t *__restrict __cond,
                                    ^~~~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:1035:52: error: expected primary-expression before ‘__restrict’
 extern int pthread_cond_clockwait (pthread_cond_t *__restrict __cond,
                                                    ^~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:1036:8: error: ‘pthread_mutex_t’ was not declared in this scope
        pthread_mutex_t *__restrict __mutex,
        ^~~~~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:1036:25: error: expected primary-expression before ‘__restrict’
        pthread_mutex_t *__restrict __mutex,
                         ^~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:1037:8: error: ‘__clockid_t’ was not declared in this scope
        __clockid_t __clock_id,
        ^~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:1038:8: error: expected primary-expression before ‘const’
        const struct timespec *__restrict __abstime)
        ^~~~~
In file included from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/features.h:450:0,
                 from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/bits/libc-header-start.h:33,
                 from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/stdio.h:27,
                 from /home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/include/common/xf_headers.hpp:20,
                 from ../../../../xf_resize_tb.cpp:17:
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/sys/cdefs.h:293:63: warning: attributes after parenthesized initializer ignored [-Wattributes]
 # define __nonnull(params) __attribute__ ((__nonnull__ params))
                                                               ^
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/sys/cdefs.h:293:63: error: expression list treated as compound expression in initializer [-fpermissive]
In file included from /tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr-default.h:35:0,
                 from /tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr.h:148,
                 from /tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/ext/atomicity.h:35,
                 from /tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/bits/basic_string.h:39,
                 from /tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/string:52,
                 from /tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/stdexcept:39,
                 from /tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/array:39,
                 from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/opencv2/core/cvdef.h:474,
                 from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/opencv2/core.hpp:52,
                 from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/opencv2/opencv.hpp:52,
                 from /home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/include/common/xf_headers.hpp:23,
                 from ../../../../xf_resize_tb.cpp:17:
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:1045:35: error: ‘pthread_condattr_t’ was not declared in this scope
 extern int pthread_condattr_init (pthread_condattr_t *__attr)
                                   ^~~~~~~~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:1045:55: error: ‘__attr’ was not declared in this scope
 extern int pthread_condattr_init (pthread_condattr_t *__attr)
                                                       ^~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:1049:38: error: ‘pthread_condattr_t’ was not declared in this scope
 extern int pthread_condattr_destroy (pthread_condattr_t *__attr)
                                      ^~~~~~~~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:1049:58: error: ‘__attr’ was not declared in this scope
 extern int pthread_condattr_destroy (pthread_condattr_t *__attr)
                                                          ^~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:1053:47: error: ‘pthread_condattr_t’ does not name a type
 extern int pthread_condattr_getpshared (const pthread_condattr_t *
                                               ^~~~~~~~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:1059:41: error: ‘pthread_condattr_t’ was not declared in this scope
 extern int pthread_condattr_setpshared (pthread_condattr_t *__attr,
                                         ^~~~~~~~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:1059:61: error: ‘__attr’ was not declared in this scope
 extern int pthread_condattr_setpshared (pthread_condattr_t *__attr,
                                                             ^~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:1060:6: error: expected primary-expression before ‘int’
      int __pshared) __THROW __nonnull ((1));
      ^~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:1060:19: error: expression list treated as compound expression in initializer [-fpermissive]
      int __pshared) __THROW __nonnull ((1));
                   ^
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:1064:45: error: ‘pthread_condattr_t’ does not name a type
 extern int pthread_condattr_getclock (const pthread_condattr_t *
                                             ^~~~~~~~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:1066:11: error: ‘__clockid_t’ has not been declared
           __clockid_t *__restrict __clock_id)
           ^~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:1070:39: error: ‘pthread_condattr_t’ was not declared in this scope
 extern int pthread_condattr_setclock (pthread_condattr_t *__attr,
                                       ^~~~~~~~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:1070:59: error: ‘__attr’ was not declared in this scope
 extern int pthread_condattr_setclock (pthread_condattr_t *__attr,
                                                           ^~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:1071:11: error: ‘__clockid_t’ was not declared in this scope
           __clockid_t __clock_id)
           ^~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:1071:33: error: expression list treated as compound expression in initializer [-fpermissive]
           __clockid_t __clock_id)
                                 ^
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:1081:31: error: ‘pthread_spinlock_t’ was not declared in this scope
 extern int pthread_spin_init (pthread_spinlock_t *__lock, int __pshared)
                               ^~~~~~~~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:1081:51: error: ‘__lock’ was not declared in this scope
 extern int pthread_spin_init (pthread_spinlock_t *__lock, int __pshared)
                                                   ^~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:1081:59: error: expected primary-expression before ‘int’
 extern int pthread_spin_init (pthread_spinlock_t *__lock, int __pshared)
                                                           ^~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:1081:72: error: expression list treated as compound expression in initializer [-fpermissive]
 extern int pthread_spin_init (pthread_spinlock_t *__lock, int __pshared)
                                                                        ^
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:1085:34: error: ‘pthread_spinlock_t’ was not declared in this scope
 extern int pthread_spin_destroy (pthread_spinlock_t *__lock)
                                  ^~~~~~~~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:1085:54: error: ‘__lock’ was not declared in this scope
 extern int pthread_spin_destroy (pthread_spinlock_t *__lock)
                                                      ^~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:1089:31: error: ‘pthread_spinlock_t’ was not declared in this scope
 extern int pthread_spin_lock (pthread_spinlock_t *__lock)
                               ^~~~~~~~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:1089:51: error: ‘__lock’ was not declared in this scope
 extern int pthread_spin_lock (pthread_spinlock_t *__lock)
                                                   ^~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:1093:34: error: ‘pthread_spinlock_t’ was not declared in this scope
 extern int pthread_spin_trylock (pthread_spinlock_t *__lock)
                                  ^~~~~~~~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:1093:54: error: ‘__lock’ was not declared in this scope
 extern int pthread_spin_trylock (pthread_spinlock_t *__lock)
                                                      ^~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:1097:33: error: ‘pthread_spinlock_t’ was not declared in this scope
 extern int pthread_spin_unlock (pthread_spinlock_t *__lock)
                                 ^~~~~~~~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:1097:53: error: ‘__lock’ was not declared in this scope
 extern int pthread_spin_unlock (pthread_spinlock_t *__lock)
                                                     ^~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:1105:34: error: ‘pthread_barrier_t’ was not declared in this scope
 extern int pthread_barrier_init (pthread_barrier_t *__restrict __barrier,
                                  ^~~~~~~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:1105:53: error: expected primary-expression before ‘__restrict’
 extern int pthread_barrier_init (pthread_barrier_t *__restrict __barrier,
                                                     ^~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:1106:6: error: expected primary-expression before ‘const’
      const pthread_barrierattr_t *__restrict
      ^~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:1107:14: error: expected primary-expression before ‘unsigned’
      __attr, unsigned int __count)
              ^~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:1107:34: error: expression list treated as compound expression in initializer [-fpermissive]
      __attr, unsigned int __count)
                                  ^
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:1111:37: error: ‘pthread_barrier_t’ was not declared in this scope
 extern int pthread_barrier_destroy (pthread_barrier_t *__barrier)
                                     ^~~~~~~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:1111:56: error: ‘__barrier’ was not declared in this scope
 extern int pthread_barrier_destroy (pthread_barrier_t *__barrier)
                                                        ^~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:1115:34: error: ‘pthread_barrier_t’ was not declared in this scope
 extern int pthread_barrier_wait (pthread_barrier_t *__barrier)
                                  ^~~~~~~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:1115:53: error: ‘__barrier’ was not declared in this scope
 extern int pthread_barrier_wait (pthread_barrier_t *__barrier)
                                                     ^~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:1120:38: error: ‘pthread_barrierattr_t’ was not declared in this scope
 extern int pthread_barrierattr_init (pthread_barrierattr_t *__attr)
                                      ^~~~~~~~~~~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:1120:61: error: ‘__attr’ was not declared in this scope
 extern int pthread_barrierattr_init (pthread_barrierattr_t *__attr)
                                                             ^~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:1124:41: error: ‘pthread_barrierattr_t’ was not declared in this scope
 extern int pthread_barrierattr_destroy (pthread_barrierattr_t *__attr)
                                         ^~~~~~~~~~~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:1124:64: error: ‘__attr’ was not declared in this scope
 extern int pthread_barrierattr_destroy (pthread_barrierattr_t *__attr)
                                                                ^~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:1128:50: error: ‘pthread_barrierattr_t’ does not name a type
 extern int pthread_barrierattr_getpshared (const pthread_barrierattr_t *
                                                  ^~~~~~~~~~~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:1134:44: error: ‘pthread_barrierattr_t’ was not declared in this scope
 extern int pthread_barrierattr_setpshared (pthread_barrierattr_t *__attr,
                                            ^~~~~~~~~~~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:1134:67: error: ‘__attr’ was not declared in this scope
 extern int pthread_barrierattr_setpshared (pthread_barrierattr_t *__attr,
                                                                   ^~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:1135:9: error: expected primary-expression before ‘int’
         int __pshared)
         ^~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:1135:22: error: expression list treated as compound expression in initializer [-fpermissive]
         int __pshared)
                      ^
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:1148:32: error: ‘pthread_key_t’ was not declared in this scope
 extern int pthread_key_create (pthread_key_t *__key,
                                ^~~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:1148:47: error: ‘__key’ was not declared in this scope
 extern int pthread_key_create (pthread_key_t *__key,
                                               ^~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:1149:18: error: ‘__destr_function’ was not declared in this scope
           void (*__destr_function) (void *))
                  ^~~~~~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:1149:37: error: expected primary-expression before ‘void’
           void (*__destr_function) (void *))
                                     ^~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:1149:44: error: expression list treated as compound expression in initializer [-fpermissive]
           void (*__destr_function) (void *))
                                            ^
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:1153:32: error: ‘pthread_key_t’ was not declared in this scope
 extern int pthread_key_delete (pthread_key_t __key) __THROW;
                                ^~~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:1156:35: error: ‘pthread_key_t’ was not declared in this scope
 extern void *pthread_getspecific (pthread_key_t __key) __THROW;
                                   ^~~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:1159:33: error: ‘pthread_key_t’ was not declared in this scope
 extern int pthread_setspecific (pthread_key_t __key,
                                 ^~~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:1160:5: error: expected primary-expression before ‘const’
     const void *__pointer) __THROW ;
     ^~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:1160:26: error: expression list treated as compound expression in initializer [-fpermissive]
     const void *__pointer) __THROW ;
                          ^
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:1165:35: error: ‘pthread_t’ was not declared in this scope
 extern int pthread_getcpuclockid (pthread_t __thread_id,
                                   ^~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:1166:7: error: ‘__clockid_t’ was not declared in this scope
       __clockid_t *__clock_id)
       ^~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:1166:20: error: ‘__clock_id’ was not declared in this scope
       __clockid_t *__clock_id)
                    ^~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/pthread.h:1166:30: error: expression list treated as compound expression in initializer [-fpermissive]
       __clockid_t *__clock_id)
                              ^
In file included from /tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr.h:148:0,
                 from /tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/ext/atomicity.h:35,
                 from /tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/bits/basic_string.h:39,
                 from /tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/string:52,
                 from /tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/stdexcept:39,
                 from /tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/array:39,
                 from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/opencv2/core/cvdef.h:474,
                 from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/opencv2/core.hpp:52,
                 from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/opencv2/opencv.hpp:52,
                 from /home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/include/common/xf_headers.hpp:23,
                 from ../../../../xf_resize_tb.cpp:17:
/tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr-default.h:47:9: error: ‘pthread_t’ does not name a type
 typedef pthread_t __gthread_t;
         ^~~~~~~~~
/tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr-default.h:48:9: error: ‘pthread_key_t’ does not name a type
 typedef pthread_key_t __gthread_key_t;
         ^~~~~~~~~~~~~
/tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr-default.h:49:9: error: ‘pthread_once_t’ does not name a type
 typedef pthread_once_t __gthread_once_t;
         ^~~~~~~~~~~~~~
/tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr-default.h:50:9: error: ‘pthread_mutex_t’ does not name a type
 typedef pthread_mutex_t __gthread_mutex_t;
         ^~~~~~~~~~~~~~~
/tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr-default.h:51:9: error: ‘pthread_mutex_t’ does not name a type
 typedef pthread_mutex_t __gthread_recursive_mutex_t;
         ^~~~~~~~~~~~~~~
/tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr-default.h:52:9: error: ‘pthread_cond_t’ does not name a type
 typedef pthread_cond_t __gthread_cond_t;
         ^~~~~~~~~~~~~~
/tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr-default.h:108:1: error: ‘pthread_self’ was not declared in this scope
 __gthrw(pthread_self)
 ^
/tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr-default.h:659:19: error: ‘__gthread_create’ declared as an ‘inline’ variable
 __gthread_create (__gthread_t *__threadid, void *(*__func) (void*),
                   ^~~~~~~~~~~
/tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr-default.h:659:19: error: ‘__gthread_t’ was not declared in this scope
/tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr-default.h:659:32: error: ‘__threadid’ was not declared in this scope
 __gthread_create (__gthread_t *__threadid, void *(*__func) (void*),
                                ^~~~~~~~~~
/tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr-default.h:659:44: error: expected primary-expression before ‘void’
 __gthread_create (__gthread_t *__threadid, void *(*__func) (void*),
                                            ^~~~
/tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr-default.h:660:5: error: expected primary-expression before ‘void’
     void *__args)
     ^~~~
/tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr-default.h:660:17: error: expression list treated as compound expression in initializer [-fpermissive]
     void *__args)
                 ^
/tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr-default.h:666:17: error: ‘__gthread_join’ declared as an ‘inline’ variable
 __gthread_join (__gthread_t __threadid, void **__value_ptr)
                 ^~~~~~~~~~~
/tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr-default.h:666:17: error: ‘__gthread_t’ was not declared in this scope
/tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr-default.h:666:41: error: expected primary-expression before ‘void’
 __gthread_join (__gthread_t __threadid, void **__value_ptr)
                                         ^~~~
/tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr-default.h:666:59: error: expression list treated as compound expression in initializer [-fpermissive]
 __gthread_join (__gthread_t __threadid, void **__value_ptr)
                                                           ^
/tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr-default.h:672:19: error: ‘__gthread_detach’ declared as an ‘inline’ variable
 __gthread_detach (__gthread_t __threadid)
                   ^~~~~~~~~~~
/tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr-default.h:672:19: error: ‘__gthread_t’ was not declared in this scope
/tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr-default.h:678:18: error: ‘__gthread_equal’ declared as an ‘inline’ variable
 __gthread_equal (__gthread_t __t1, __gthread_t __t2)
                  ^~~~~~~~~~~
/tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr-default.h:678:18: error: ‘__gthread_t’ was not declared in this scope
/tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr-default.h:678:36: error: ‘__gthread_t’ was not declared in this scope
 __gthread_equal (__gthread_t __t1, __gthread_t __t2)
                                    ^~~~~~~~~~~
/tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr-default.h:678:52: error: expression list treated as compound expression in initializer [-fpermissive]
 __gthread_equal (__gthread_t __t1, __gthread_t __t2)
                                                    ^
/tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr-default.h:683:15: error: ‘__gthread_t’ does not name a type
 static inline __gthread_t
               ^~~~~~~~~~~
/tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr-default.h:696:17: error: ‘__gthread_once’ declared as an ‘inline’ variable
 __gthread_once (__gthread_once_t *__once, void (*__func) (void))
                 ^~~~~~~~~~~~~~~~
/tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr-default.h:696:17: error: ‘__gthread_once_t’ was not declared in this scope
/tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr-default.h:696:35: error: ‘__once’ was not declared in this scope
 __gthread_once (__gthread_once_t *__once, void (*__func) (void))
                                   ^~~~~~
/tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr-default.h:696:50: error: ‘__func’ was not declared in this scope
 __gthread_once (__gthread_once_t *__once, void (*__func) (void))
                                                  ^~~~~~
/tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr-default.h:696:59: error: expected primary-expression before ‘void’
 __gthread_once (__gthread_once_t *__once, void (*__func) (void))
                                                           ^~~~
/tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr-default.h:696:64: error: expression list treated as compound expression in initializer [-fpermissive]
 __gthread_once (__gthread_once_t *__once, void (*__func) (void))
                                                                ^
/tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr-default.h:705:23: error: ‘__gthread_key_create’ declared as an ‘inline’ variable
 __gthread_key_create (__gthread_key_t *__key, void (*__dtor) (void *))
                       ^~~~~~~~~~~~~~~
/tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr-default.h:705:23: error: ‘__gthread_key_t’ was not declared in this scope
/tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr-default.h:705:40: error: ‘__key’ was not declared in this scope
 __gthread_key_create (__gthread_key_t *__key, void (*__dtor) (void *))
                                        ^~~~~
/tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr-default.h:705:54: error: ‘__dtor’ was not declared in this scope
 __gthread_key_create (__gthread_key_t *__key, void (*__dtor) (void *))
                                                      ^~~~~~
/tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr-default.h:705:63: error: expected primary-expression before ‘void’
 __gthread_key_create (__gthread_key_t *__key, void (*__dtor) (void *))
                                                               ^~~~
/tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr-default.h:705:70: error: expression list treated as compound expression in initializer [-fpermissive]
 __gthread_key_create (__gthread_key_t *__key, void (*__dtor) (void *))
                                                                      ^
/tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr-default.h:711:23: error: ‘__gthread_key_delete’ declared as an ‘inline’ variable
 __gthread_key_delete (__gthread_key_t __key)
                       ^~~~~~~~~~~~~~~
/tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr-default.h:711:23: error: ‘__gthread_key_t’ was not declared in this scope
/tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr-default.h:717:24: error: ‘__gthread_getspecific’ declared as an ‘inline’ variable
 __gthread_getspecific (__gthread_key_t __key)
                        ^~~~~~~~~~~~~~~
/tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr-default.h:717:24: error: ‘__gthread_key_t’ was not declared in this scope
/tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr-default.h:723:24: error: ‘__gthread_setspecific’ declared as an ‘inline’ variable
 __gthread_setspecific (__gthread_key_t __key, const void *__ptr)
                        ^~~~~~~~~~~~~~~
/tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr-default.h:723:24: error: ‘__gthread_key_t’ was not declared in this scope
/tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr-default.h:723:47: error: expected primary-expression before ‘const’
 __gthread_setspecific (__gthread_key_t __key, const void *__ptr)
                                               ^~~~~
/tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr-default.h:723:64: error: expression list treated as compound expression in initializer [-fpermissive]
 __gthread_setspecific (__gthread_key_t __key, const void *__ptr)
                                                                ^
/tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr-default.h:729:32: error: variable or field ‘__gthread_mutex_init_function’ declared void
 __gthread_mutex_init_function (__gthread_mutex_t *__mutex)
                                ^~~~~~~~~~~~~~~~~
/tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr-default.h:729:32: error: ‘__gthread_mutex_t’ was not declared in this scope
/tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr-default.h:729:51: error: ‘__mutex’ was not declared in this scope
 __gthread_mutex_init_function (__gthread_mutex_t *__mutex)
                                                   ^~~~~~~
/tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr-default.h:736:26: error: ‘__gthread_mutex_destroy’ declared as an ‘inline’ variable
 __gthread_mutex_destroy (__gthread_mutex_t *__mutex)
                          ^~~~~~~~~~~~~~~~~
/tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr-default.h:736:26: error: ‘__gthread_mutex_t’ was not declared in this scope
/tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr-default.h:736:45: error: ‘__mutex’ was not declared in this scope
 __gthread_mutex_destroy (__gthread_mutex_t *__mutex)
                                             ^~~~~~~
/tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr-default.h:745:23: error: ‘__gthread_mutex_lock’ declared as an ‘inline’ variable
 __gthread_mutex_lock (__gthread_mutex_t *__mutex)
                       ^~~~~~~~~~~~~~~~~
/tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr-default.h:745:23: error: ‘__gthread_mutex_t’ was not declared in this scope
/tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr-default.h:745:42: error: ‘__mutex’ was not declared in this scope
 __gthread_mutex_lock (__gthread_mutex_t *__mutex)
                                          ^~~~~~~
/tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr-default.h:754:26: error: ‘__gthread_mutex_trylock’ declared as an ‘inline’ variable
 __gthread_mutex_trylock (__gthread_mutex_t *__mutex)
                          ^~~~~~~~~~~~~~~~~
/tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr-default.h:754:26: error: ‘__gthread_mutex_t’ was not declared in this scope
/tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr-default.h:754:45: error: ‘__mutex’ was not declared in this scope
 __gthread_mutex_trylock (__gthread_mutex_t *__mutex)
                                             ^~~~~~~
/tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr-default.h:764:28: error: ‘__gthread_mutex_timedlock’ declared as an ‘inline’ variable
 __gthread_mutex_timedlock (__gthread_mutex_t *__mutex,
                            ^~~~~~~~~~~~~~~~~
/tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr-default.h:764:28: error: ‘__gthread_mutex_t’ was not declared in this scope
/tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr-default.h:764:47: error: ‘__mutex’ was not declared in this scope
 __gthread_mutex_timedlock (__gthread_mutex_t *__mutex,
                                               ^~~~~~~
/tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr-default.h:765:7: error: expected primary-expression before ‘const’
       const __gthread_time_t *__abs_timeout)
       ^~~~~
/tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr-default.h:765:44: error: expression list treated as compound expression in initializer [-fpermissive]
       const __gthread_time_t *__abs_timeout)
                                            ^
/tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr-default.h:775:25: error: ‘__gthread_mutex_unlock’ declared as an ‘inline’ variable
 __gthread_mutex_unlock (__gthread_mutex_t *__mutex)
                         ^~~~~~~~~~~~~~~~~
/tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr-default.h:775:25: error: ‘__gthread_mutex_t’ was not declared in this scope
/tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr-default.h:775:44: error: ‘__mutex’ was not declared in this scope
 __gthread_mutex_unlock (__gthread_mutex_t *__mutex)
                                            ^~~~~~~
/tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr-default.h:808:33: error: ‘__gthread_recursive_mutex_lock’ declared as an ‘inline’ variable
 __gthread_recursive_mutex_lock (__gthread_recursive_mutex_t *__mutex)
                                 ^~~~~~~~~~~~~~~~~~~~~~~~~~~
/tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr-default.h:808:33: error: ‘__gthread_recursive_mutex_t’ was not declared in this scope
/tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr-default.h:808:62: error: ‘__mutex’ was not declared in this scope
 __gthread_recursive_mutex_lock (__gthread_recursive_mutex_t *__mutex)
                                                              ^~~~~~~
/tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr-default.h:814:36: error: ‘__gthread_recursive_mutex_trylock’ declared as an ‘inline’ variable
 __gthread_recursive_mutex_trylock (__gthread_recursive_mutex_t *__mutex)
                                    ^~~~~~~~~~~~~~~~~~~~~~~~~~~
/tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr-default.h:814:36: error: ‘__gthread_recursive_mutex_t’ was not declared in this scope
/tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr-default.h:814:65: error: ‘__mutex’ was not declared in this scope
 __gthread_recursive_mutex_trylock (__gthread_recursive_mutex_t *__mutex)
                                                                 ^~~~~~~
/tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr-default.h:821:38: error: ‘__gthread_recursive_mutex_timedlock’ declared as an ‘inline’ variable
 __gthread_recursive_mutex_timedlock (__gthread_recursive_mutex_t *__mutex,
                                      ^~~~~~~~~~~~~~~~~~~~~~~~~~~
/tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr-default.h:821:38: error: ‘__gthread_recursive_mutex_t’ was not declared in this scope
/tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr-default.h:821:67: error: ‘__mutex’ was not declared in this scope
 __gthread_recursive_mutex_timedlock (__gthread_recursive_mutex_t *__mutex,
                                                                   ^~~~~~~
/tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr-default.h:822:10: error: expected primary-expression before ‘const’
          const __gthread_time_t *__abs_timeout)
          ^~~~~
/tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr-default.h:822:47: error: expression list treated as compound expression in initializer [-fpermissive]
          const __gthread_time_t *__abs_timeout)
                                               ^
/tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr-default.h:829:35: error: ‘__gthread_recursive_mutex_unlock’ declared as an ‘inline’ variable
 __gthread_recursive_mutex_unlock (__gthread_recursive_mutex_t *__mutex)
                                   ^~~~~~~~~~~~~~~~~~~~~~~~~~~
/tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr-default.h:829:35: error: ‘__gthread_recursive_mutex_t’ was not declared in this scope
/tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr-default.h:829:64: error: ‘__mutex’ was not declared in this scope
 __gthread_recursive_mutex_unlock (__gthread_recursive_mutex_t *__mutex)
                                                                ^~~~~~~
/tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr-default.h:835:36: error: ‘__gthread_recursive_mutex_destroy’ declared as an ‘inline’ variable
 __gthread_recursive_mutex_destroy (__gthread_recursive_mutex_t *__mutex)
                                    ^~~~~~~~~~~~~~~~~~~~~~~~~~~
/tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr-default.h:835:36: error: ‘__gthread_recursive_mutex_t’ was not declared in this scope
/tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr-default.h:835:65: error: ‘__mutex’ was not declared in this scope
 __gthread_recursive_mutex_destroy (__gthread_recursive_mutex_t *__mutex)
                                                                 ^~~~~~~
/tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr-default.h:850:27: error: ‘__gthread_cond_broadcast’ declared as an ‘inline’ variable
 __gthread_cond_broadcast (__gthread_cond_t *__cond)
                           ^~~~~~~~~~~~~~~~
/tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr-default.h:850:27: error: ‘__gthread_cond_t’ was not declared in this scope
/tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr-default.h:850:45: error: ‘__cond’ was not declared in this scope
 __gthread_cond_broadcast (__gthread_cond_t *__cond)
                                             ^~~~~~
/tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr-default.h:856:24: error: ‘__gthread_cond_signal’ declared as an ‘inline’ variable
 __gthread_cond_signal (__gthread_cond_t *__cond)
                        ^~~~~~~~~~~~~~~~
/tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr-default.h:856:24: error: ‘__gthread_cond_t’ was not declared in this scope
/tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr-default.h:856:42: error: ‘__cond’ was not declared in this scope
 __gthread_cond_signal (__gthread_cond_t *__cond)
                                          ^~~~~~
/tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr-default.h:862:22: error: ‘__gthread_cond_wait’ declared as an ‘inline’ variable
 __gthread_cond_wait (__gthread_cond_t *__cond, __gthread_mutex_t *__mutex)
                      ^~~~~~~~~~~~~~~~
/tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr-default.h:862:22: error: ‘__gthread_cond_t’ was not declared in this scope
/tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr-default.h:862:40: error: ‘__cond’ was not declared in this scope
 __gthread_cond_wait (__gthread_cond_t *__cond, __gthread_mutex_t *__mutex)
                                        ^~~~~~
/tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr-default.h:862:48: error: ‘__gthread_mutex_t’ was not declared in this scope
 __gthread_cond_wait (__gthread_cond_t *__cond, __gthread_mutex_t *__mutex)
                                                ^~~~~~~~~~~~~~~~~
/tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr-default.h:862:67: error: ‘__mutex’ was not declared in this scope
 __gthread_cond_wait (__gthread_cond_t *__cond, __gthread_mutex_t *__mutex)
                                                                   ^~~~~~~
/tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr-default.h:862:74: error: expression list treated as compound expression in initializer [-fpermissive]
 __gthread_cond_wait (__gthread_cond_t *__cond, __gthread_mutex_t *__mutex)
                                                                          ^
/tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr-default.h:868:27: error: ‘__gthread_cond_timedwait’ declared as an ‘inline’ variable
 __gthread_cond_timedwait (__gthread_cond_t *__cond, __gthread_mutex_t *__mutex,
                           ^~~~~~~~~~~~~~~~
/tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr-default.h:868:27: error: ‘__gthread_cond_t’ was not declared in this scope
/tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr-default.h:868:45: error: ‘__cond’ was not declared in this scope
 __gthread_cond_timedwait (__gthread_cond_t *__cond, __gthread_mutex_t *__mutex,
                                             ^~~~~~
/tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr-default.h:868:53: error: ‘__gthread_mutex_t’ was not declared in this scope
 __gthread_cond_timedwait (__gthread_cond_t *__cond, __gthread_mutex_t *__mutex,
                                                     ^~~~~~~~~~~~~~~~~
/tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr-default.h:868:72: error: ‘__mutex’ was not declared in this scope
 __gthread_cond_timedwait (__gthread_cond_t *__cond, __gthread_mutex_t *__mutex,
                                                                        ^~~~~~~
/tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr-default.h:869:6: error: expected primary-expression before ‘const’
      const __gthread_time_t *__abs_timeout)
      ^~~~~
/tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr-default.h:869:43: error: expression list treated as compound expression in initializer [-fpermissive]
      const __gthread_time_t *__abs_timeout)
                                           ^
/tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr-default.h:875:32: error: ‘__gthread_cond_wait_recursive’ declared as an ‘inline’ variable
 __gthread_cond_wait_recursive (__gthread_cond_t *__cond,
                                ^~~~~~~~~~~~~~~~
/tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr-default.h:875:32: error: ‘__gthread_cond_t’ was not declared in this scope
/tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr-default.h:875:50: error: ‘__cond’ was not declared in this scope
 __gthread_cond_wait_recursive (__gthread_cond_t *__cond,
                                                  ^~~~~~
/tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr-default.h:876:11: error: ‘__gthread_recursive_mutex_t’ was not declared in this scope
           __gthread_recursive_mutex_t *__mutex)
           ^~~~~~~~~~~~~~~~~~~~~~~~~~~
/tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr-default.h:876:40: error: ‘__mutex’ was not declared in this scope
           __gthread_recursive_mutex_t *__mutex)
                                        ^~~~~~~
/tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr-default.h:876:47: error: expression list treated as compound expression in initializer [-fpermissive]
           __gthread_recursive_mutex_t *__mutex)
                                               ^
/tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr-default.h:882:25: error: ‘__gthread_cond_destroy’ declared as an ‘inline’ variable
 __gthread_cond_destroy (__gthread_cond_t* __cond)
                         ^~~~~~~~~~~~~~~~
/tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr-default.h:882:25: error: ‘__gthread_cond_t’ was not declared in this scope
/tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/gthr-default.h:882:43: error: ‘__cond’ was not declared in this scope
 __gthread_cond_destroy (__gthread_cond_t* __cond)
                                           ^~~~~~
In file included from /tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/bits/ios_base.h:41:0,
                 from /tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/ios:42,
                 from /tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/istream:38,
                 from /tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/sstream:38,
                 from /tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/complex:45,
                 from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/opencv2/core/cvstd.inl.hpp:47,
                 from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/opencv2/core.hpp:3279,
                 from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/opencv2/opencv.hpp:52,
                 from /home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/include/common/xf_headers.hpp:23,
                 from ../../../../xf_resize_tb.cpp:17:
/tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/bits/locale_classes.h:336:12: error: ‘__gthread_once_t’ does not name a type
     static __gthread_once_t _S_once;
            ^~~~~~~~~~~~~~~~
/tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/bits/locale_classes.h:386:12: error: ‘__gthread_once_t’ does not name a type
     static __gthread_once_t  _S_once;
            ^~~~~~~~~~~~~~~~
In file included from /tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/bits/locale_facets_nonio.h:39:0,
                 from /tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/locale:41,
                 from /tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/iomanip:43,
                 from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/opencv2/flann/lsh_table.h:40,
                 from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/opencv2/flann/lsh_index.h:49,
                 from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/opencv2/flann/all_indices.h:42,
                 from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/opencv2/flann/flann_base.hpp:43,
                 from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/opencv2/flann.hpp:48,
                 from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/opencv2/opencv.hpp:65,
                 from /home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/include/common/xf_headers.hpp:23,
                 from ../../../../xf_resize_tb.cpp:17:
/tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/ctime:60:11: error: ‘::clock_t’ has not been declared
   using ::clock_t;
           ^~~~~~~
/tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/ctime:61:11: error: ‘::time_t’ has not been declared
   using ::time_t;
           ^~~~~~
/tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/ctime:64:11: error: ‘::clock’ has not been declared
   using ::clock;
           ^~~~~
/tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/ctime:66:11: error: ‘::mktime’ has not been declared
   using ::mktime;
           ^~~~~~
/tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/ctime:67:11: error: ‘::time’ has not been declared
   using ::time;
           ^~~~
In file included from /tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/basic_file.h:40:0,
                 from /tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/fstream:42,
                 from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/opencv2/videostab/global_motion.hpp:47,
                 from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/opencv2/videostab/stabilizer.hpp:50,
                 from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/opencv2/videostab.hpp:78,
                 from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/opencv2/opencv.hpp:101,
                 from /home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/include/common/xf_headers.hpp:23,
                 from ../../../../xf_resize_tb.cpp:17:
/tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/c++io.h:42:11: error: ‘__gthread_mutex_t’ does not name a type
   typedef __gthread_mutex_t __c_lock;
           ^~~~~~~~~~~~~~~~~
In file included from /tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/fstream:42:0,
                 from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/opencv2/videostab/global_motion.hpp:47,
                 from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/opencv2/videostab/stabilizer.hpp:50,
                 from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/opencv2/videostab.hpp:78,
                 from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/opencv2/opencv.hpp:101,
                 from /home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/include/common/xf_headers.hpp:23,
                 from ../../../../xf_resize_tb.cpp:17:
/tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/basic_file.h:63:28: error: expected ‘)’ before ‘*’ token
       __basic_file(__c_lock* __lock = 0) throw ();
                            ^
/tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/x86_64-pc-linux-gnu/bits/basic_file.h:66:41: error: ‘__c_lock’ has not been declared
       __basic_file(__basic_file&& __rv, __c_lock* __lock = 0) noexcept
                                         ^~~~~~~~
In file included from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/opencv2/videostab/global_motion.hpp:47:0,
                 from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/opencv2/videostab/stabilizer.hpp:50,
                 from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/opencv2/videostab.hpp:78,
                 from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/opencv2/opencv.hpp:101,
                 from /home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/include/common/xf_headers.hpp:23,
                 from ../../../../xf_resize_tb.cpp:17:
/tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/fstream:107:7: error: ‘__c_lock’ does not name a type
       __c_lock           _M_lock;
       ^~~~~~~~
In file included from /tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/fstream:1081:0,
                 from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/opencv2/videostab/global_motion.hpp:47,
                 from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/opencv2/videostab/stabilizer.hpp:50,
                 from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/opencv2/videostab.hpp:78,
                 from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/opencv2/opencv.hpp:101,
                 from /home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/include/common/xf_headers.hpp:23,
                 from ../../../../xf_resize_tb.cpp:17:
/tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/bits/fstream.tcc: In constructor ‘std::basic_filebuf<_CharT, _Traits>::basic_filebuf()’:
/tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/bits/fstream.tcc:80:43: error: class ‘std::basic_filebuf<_CharT, _Traits>’ does not have any field named ‘_M_lock’
     basic_filebuf() : __streambuf_type(), _M_lock(), _M_file(&_M_lock),
                                           ^~~~~~~
/tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/bits/fstream.tcc:80:63: error: ‘_M_lock’ was not declared in this scope
     basic_filebuf() : __streambuf_type(), _M_lock(), _M_file(&_M_lock),
                                                               ^~~~~~~
/tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/bits/fstream.tcc: In constructor ‘std::basic_filebuf<_CharT, _Traits>::basic_filebuf(std::basic_filebuf<_CharT, _Traits>&&)’:
/tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/bits/fstream.tcc:97:5: error: class ‘std::basic_filebuf<_CharT, _Traits>’ does not have any field named ‘_M_lock’
     _M_lock(), _M_file(std::move(__rhs._M_file), &_M_lock),
     ^~~~~~~
/tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/bits/fstream.tcc:97:51: error: ‘_M_lock’ was not declared in this scope
     _M_lock(), _M_file(std::move(__rhs._M_file), &_M_lock),
                                                   ^~~~~~~
In file included from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/opencv2/videostab.hpp:78:0,
                 from /home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/opencv2/opencv.hpp:101,
                 from /home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/include/common/xf_headers.hpp:23,
                 from ../../../../xf_resize_tb.cpp:17:
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/opencv2/videostab/stabilizer.hpp: At global scope:
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/opencv2/videostab/stabilizer.hpp:136:5: error: ‘clock_t’ does not name a type
     clock_t processingStartTime_;
     ^~~~~~~
In file included from /tools/Xilinx/Vitis_HLS/2020.2/include/floating_point_v7_0_bitacc_cmodel.h:149:0,
                 from /tools/Xilinx/Vitis_HLS/2020.2/include/hls_fpo.h:189,
                 from /tools/Xilinx/Vitis_HLS/2020.2/include/hls_half_fpo.h:64,
                 from /tools/Xilinx/Vitis_HLS/2020.2/include/hls_half.h:71,
                 from /tools/Xilinx/Vitis_HLS/2020.2/include/etc/ap_private.h:91,
                 from /tools/Xilinx/Vitis_HLS/2020.2/include/ap_common.h:646,
                 from /tools/Xilinx/Vitis_HLS/2020.2/include/ap_int.h:55,
                 from /tools/Xilinx/Vitis_HLS/2020.2/include/ap_axi_sdata.h:88,
                 from /home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/include/common/xf_structs.hpp:27,
                 from /home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/include/common/xf_common.hpp:20,
                 from /home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/include/common/xf_sw_utils.hpp:20,
                 from /home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/include/common/xf_headers.hpp:28,
                 from ../../../../xf_resize_tb.cpp:17:
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/mpfr.h:207:3: error: ‘mp_limb_t’ does not name a type
   mp_limb_t   *_mpfr_d;
   ^~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/mpfr.h:439:43: error: ‘mpz_srcptr’ has not been declared
 __MPFR_DECLSPEC int mpfr_set_z (mpfr_ptr, mpz_srcptr, mpfr_rnd_t);
                                           ^~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/mpfr.h:440:48: error: ‘mpz_srcptr’ has not been declared
 __MPFR_DECLSPEC int mpfr_set_z_2exp (mpfr_ptr, mpz_srcptr, mpfr_exp_t,
                                                ^~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/mpfr.h:448:43: error: ‘mpf_srcptr’ has not been declared
 __MPFR_DECLSPEC int mpfr_set_f (mpfr_ptr, mpf_srcptr, mpfr_rnd_t);
                                           ^~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/mpfr.h:449:46: error: ‘mpf_srcptr’ has not been declared
 __MPFR_DECLSPEC int mpfr_cmp_f (mpfr_srcptr, mpf_srcptr);
                                              ^~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/mpfr.h:450:33: error: ‘mpf_ptr’ was not declared in this scope
 __MPFR_DECLSPEC int mpfr_get_f (mpf_ptr, mpfr_srcptr, mpfr_rnd_t);
                                 ^~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/mpfr.h:450:53: error: expected primary-expression before ‘,’ token
 __MPFR_DECLSPEC int mpfr_get_f (mpf_ptr, mpfr_srcptr, mpfr_rnd_t);
                                                     ^
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/mpfr.h:450:65: error: expected primary-expression before ‘)’ token
 __MPFR_DECLSPEC int mpfr_get_f (mpf_ptr, mpfr_srcptr, mpfr_rnd_t);
                                                                 ^
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/mpfr.h:450:65: error: expression list treated as compound expression in initializer [-fpermissive]
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/mpfr.h:459:43: error: ‘mpq_srcptr’ has not been declared
 __MPFR_DECLSPEC int mpfr_set_q (mpfr_ptr, mpq_srcptr, mpfr_rnd_t);
                                           ^~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/mpfr.h:460:56: error: ‘mpq_srcptr’ has not been declared
 __MPFR_DECLSPEC int mpfr_mul_q (mpfr_ptr, mpfr_srcptr, mpq_srcptr, mpfr_rnd_t);
                                                        ^~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/mpfr.h:461:56: error: ‘mpq_srcptr’ has not been declared
 __MPFR_DECLSPEC int mpfr_div_q (mpfr_ptr, mpfr_srcptr, mpq_srcptr, mpfr_rnd_t);
                                                        ^~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/mpfr.h:462:56: error: ‘mpq_srcptr’ has not been declared
 __MPFR_DECLSPEC int mpfr_add_q (mpfr_ptr, mpfr_srcptr, mpq_srcptr, mpfr_rnd_t);
                                                        ^~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/mpfr.h:463:56: error: ‘mpq_srcptr’ has not been declared
 __MPFR_DECLSPEC int mpfr_sub_q (mpfr_ptr, mpfr_srcptr, mpq_srcptr, mpfr_rnd_t);
                                                        ^~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/mpfr.h:464:46: error: ‘mpq_srcptr’ has not been declared
 __MPFR_DECLSPEC int mpfr_cmp_q (mpfr_srcptr, mpq_srcptr);
                                              ^~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/mpfr.h:465:34: error: variable or field ‘mpfr_get_q’ declared void
 __MPFR_DECLSPEC void mpfr_get_q (mpq_ptr q, mpfr_srcptr f);
                                  ^~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/mpfr.h:465:34: error: ‘mpq_ptr’ was not declared in this scope
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/mpfr.h:465:57: error: expected primary-expression before ‘f’
 __MPFR_DECLSPEC void mpfr_get_q (mpq_ptr q, mpfr_srcptr f);
                                                         ^
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/mpfr.h:479:45: error: ‘mpz_ptr’ was not declared in this scope
 __MPFR_DECLSPEC mpfr_exp_t mpfr_get_z_2exp (mpz_ptr, mpfr_srcptr);
                                             ^~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/mpfr.h:479:65: error: expected primary-expression before ‘)’ token
 __MPFR_DECLSPEC mpfr_exp_t mpfr_get_z_2exp (mpz_ptr, mpfr_srcptr);
                                                                 ^
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/mpfr.h:479:65: error: expression list treated as compound expression in initializer [-fpermissive]
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/mpfr.h:494:33: error: ‘mpz_ptr’ was not declared in this scope
 __MPFR_DECLSPEC int mpfr_get_z (mpz_ptr z, mpfr_srcptr f, mpfr_rnd_t);
                                 ^~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/mpfr.h:494:56: error: expected primary-expression before ‘f’
 __MPFR_DECLSPEC int mpfr_get_z (mpz_ptr z, mpfr_srcptr f, mpfr_rnd_t);
                                                        ^
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/mpfr.h:494:69: error: expected primary-expression before ‘)’ token
 __MPFR_DECLSPEC int mpfr_get_z (mpz_ptr z, mpfr_srcptr f, mpfr_rnd_t);
                                                                     ^
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/mpfr.h:494:69: error: expression list treated as compound expression in initializer [-fpermissive]
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/mpfr.h:498:45: error: ‘gmp_randstate_t’ has not been declared
 __MPFR_DECLSPEC int mpfr_urandom (mpfr_ptr, gmp_randstate_t, mpfr_rnd_t);
                                             ^~~~~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/mpfr.h:502:55: error: ‘gmp_randstate_t’ has not been declared
 __MPFR_DECLSPEC int mpfr_grandom (mpfr_ptr, mpfr_ptr, gmp_randstate_t,
                                                       ^~~~~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/mpfr.h:504:45: error: ‘gmp_randstate_t’ has not been declared
 __MPFR_DECLSPEC int mpfr_nrandom (mpfr_ptr, gmp_randstate_t, mpfr_rnd_t);
                                             ^~~~~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/mpfr.h:505:45: error: ‘gmp_randstate_t’ has not been declared
 __MPFR_DECLSPEC int mpfr_erandom (mpfr_ptr, gmp_randstate_t, mpfr_rnd_t);
                                             ^~~~~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/mpfr.h:506:46: error: ‘gmp_randstate_t’ has not been declared
 __MPFR_DECLSPEC int mpfr_urandomb (mpfr_ptr, gmp_randstate_t);
                                              ^~~~~~~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/mpfr.h:527:56: error: ‘mpz_srcptr’ has not been declared
 __MPFR_DECLSPEC int mpfr_pow_z (mpfr_ptr, mpfr_srcptr, mpz_srcptr, mpfr_rnd_t);
                                                        ^~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/mpfr.h:642:36: error: variable or field ‘mpfr_extract’ declared void
 __MPFR_DECLSPEC void mpfr_extract (mpz_ptr, mpfr_srcptr, unsigned int);
                                    ^~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/mpfr.h:642:36: error: ‘mpz_ptr’ was not declared in this scope
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/mpfr.h:642:56: error: expected primary-expression before ‘,’ token
 __MPFR_DECLSPEC void mpfr_extract (mpz_ptr, mpfr_srcptr, unsigned int);
                                                        ^
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/mpfr.h:642:58: error: expected primary-expression before ‘unsigned’
 __MPFR_DECLSPEC void mpfr_extract (mpz_ptr, mpfr_srcptr, unsigned int);
                                                          ^~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/mpfr.h:720:56: error: ‘mpz_srcptr’ has not been declared
 __MPFR_DECLSPEC int mpfr_mul_z (mpfr_ptr, mpfr_srcptr, mpz_srcptr, mpfr_rnd_t);
                                                        ^~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/mpfr.h:721:56: error: ‘mpz_srcptr’ has not been declared
 __MPFR_DECLSPEC int mpfr_div_z (mpfr_ptr, mpfr_srcptr, mpz_srcptr, mpfr_rnd_t);
                                                        ^~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/mpfr.h:722:56: error: ‘mpz_srcptr’ has not been declared
 __MPFR_DECLSPEC int mpfr_add_z (mpfr_ptr, mpfr_srcptr, mpz_srcptr, mpfr_rnd_t);
                                                        ^~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/mpfr.h:723:56: error: ‘mpz_srcptr’ has not been declared
 __MPFR_DECLSPEC int mpfr_sub_z (mpfr_ptr, mpfr_srcptr, mpz_srcptr, mpfr_rnd_t);
                                                        ^~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/mpfr.h:724:43: error: ‘mpz_srcptr’ has not been declared
 __MPFR_DECLSPEC int mpfr_z_sub (mpfr_ptr, mpz_srcptr, mpfr_srcptr, mpfr_rnd_t);
                                           ^~~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/mpfr.h:725:46: error: ‘mpz_srcptr’ has not been declared
 __MPFR_DECLSPEC int mpfr_cmp_z (mpfr_srcptr, mpz_srcptr);
                                              ^~~~~~~~~~
In file included from /tools/Xilinx/Vitis_HLS/2020.2/include/hls_fpo.h:189:0,
                 from /tools/Xilinx/Vitis_HLS/2020.2/include/hls_half_fpo.h:64,
                 from /tools/Xilinx/Vitis_HLS/2020.2/include/hls_half.h:71,
                 from /tools/Xilinx/Vitis_HLS/2020.2/include/etc/ap_private.h:91,
                 from /tools/Xilinx/Vitis_HLS/2020.2/include/ap_common.h:646,
                 from /tools/Xilinx/Vitis_HLS/2020.2/include/ap_int.h:55,
                 from /tools/Xilinx/Vitis_HLS/2020.2/include/ap_axi_sdata.h:88,
                 from /home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/include/common/xf_structs.hpp:27,
                 from /home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/include/common/xf_common.hpp:20,
                 from /home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/include/common/xf_sw_utils.hpp:20,
                 from /home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/include/common/xf_headers.hpp:28,
                 from ../../../../xf_resize_tb.cpp:17:
/tools/Xilinx/Vitis_HLS/2020.2/include/floating_point_v7_0_bitacc_cmodel.h:167:3: error: ‘mp_limb_t’ does not name a type
   mp_limb_t      *_xip_fpo_d;
   ^~~~~~~~~
/tools/Xilinx/Vitis_HLS/2020.2/include/floating_point_v7_0_bitacc_cmodel.h:240:63: error: ‘mpz_srcptr’ has not been declared
 __XIP_FPO_DLL xip_fpo_exc_t xip_fpo_set_z       (xip_fpo_ptr, mpz_srcptr);
                                                               ^~~~~~~~~~
/tools/Xilinx/Vitis_HLS/2020.2/include/floating_point_v7_0_bitacc_cmodel.h:241:67: error: ‘mpz_srcptr’ has not been declared
 __XIP_FPO_DLL xip_fpo_exc_t xip_fpo_fix_set_z   (xip_fpo_fix_ptr, mpz_srcptr);
                                                                   ^~~~~~~~~~
/tools/Xilinx/Vitis_HLS/2020.2/include/floating_point_v7_0_bitacc_cmodel.h:242:63: error: ‘mpq_srcptr’ has not been declared
 __XIP_FPO_DLL xip_fpo_exc_t xip_fpo_set_q       (xip_fpo_ptr, mpq_srcptr);
                                                               ^~~~~~~~~~
/tools/Xilinx/Vitis_HLS/2020.2/include/floating_point_v7_0_bitacc_cmodel.h:243:67: error: ‘mpq_srcptr’ has not been declared
 __XIP_FPO_DLL xip_fpo_exc_t xip_fpo_fix_set_q   (xip_fpo_fix_ptr, mpq_srcptr);
                                                                   ^~~~~~~~~~
/tools/Xilinx/Vitis_HLS/2020.2/include/floating_point_v7_0_bitacc_cmodel.h:244:63: error: ‘mpf_srcptr’ has not been declared
 __XIP_FPO_DLL xip_fpo_exc_t xip_fpo_set_f       (xip_fpo_ptr, mpf_srcptr);
                                                               ^~~~~~~~~~
/tools/Xilinx/Vitis_HLS/2020.2/include/floating_point_v7_0_bitacc_cmodel.h:245:67: error: ‘mpf_srcptr’ has not been declared
 __XIP_FPO_DLL xip_fpo_exc_t xip_fpo_fix_set_f   (xip_fpo_fix_ptr, mpf_srcptr);
                                                                   ^~~~~~~~~~
/tools/Xilinx/Vitis_HLS/2020.2/include/floating_point_v7_0_bitacc_cmodel.h:272:53: error: ‘mpz_ptr’ was not declared in this scope
 __XIP_FPO_DLL xip_fpo_exc_t xip_fpo_get_z          (mpz_ptr, xip_fpo_srcptr);
                                                     ^~~~~~~
/tools/Xilinx/Vitis_HLS/2020.2/include/floating_point_v7_0_bitacc_cmodel.h:272:76: error: expected primary-expression before ‘)’ token
 __XIP_FPO_DLL xip_fpo_exc_t xip_fpo_get_z          (mpz_ptr, xip_fpo_srcptr);
                                                                            ^
/tools/Xilinx/Vitis_HLS/2020.2/include/floating_point_v7_0_bitacc_cmodel.h:272:76: error: expression list treated as compound expression in initializer [-fpermissive]
/tools/Xilinx/Vitis_HLS/2020.2/include/floating_point_v7_0_bitacc_cmodel.h:273:53: error: ‘mpz_ptr’ was not declared in this scope
 __XIP_FPO_DLL xip_fpo_exc_t xip_fpo_fix_get_z      (mpz_ptr, xip_fpo_fix_srcptr);
                                                     ^~~~~~~
/tools/Xilinx/Vitis_HLS/2020.2/include/floating_point_v7_0_bitacc_cmodel.h:273:80: error: expected primary-expression before ‘)’ token
 __XIP_FPO_DLL xip_fpo_exc_t xip_fpo_fix_get_z      (mpz_ptr, xip_fpo_fix_srcptr);
                                                                                ^
/tools/Xilinx/Vitis_HLS/2020.2/include/floating_point_v7_0_bitacc_cmodel.h:273:80: error: expression list treated as compound expression in initializer [-fpermissive]
/tools/Xilinx/Vitis_HLS/2020.2/include/floating_point_v7_0_bitacc_cmodel.h:274:53: error: ‘mpf_ptr’ was not declared in this scope
 __XIP_FPO_DLL xip_fpo_exc_t xip_fpo_get_f          (mpf_ptr, xip_fpo_srcptr);
                                                     ^~~~~~~
/tools/Xilinx/Vitis_HLS/2020.2/include/floating_point_v7_0_bitacc_cmodel.h:274:76: error: expected primary-expression before ‘)’ token
 __XIP_FPO_DLL xip_fpo_exc_t xip_fpo_get_f          (mpf_ptr, xip_fpo_srcptr);
                                                                            ^
/tools/Xilinx/Vitis_HLS/2020.2/include/floating_point_v7_0_bitacc_cmodel.h:274:76: error: expression list treated as compound expression in initializer [-fpermissive]
/tools/Xilinx/Vitis_HLS/2020.2/include/floating_point_v7_0_bitacc_cmodel.h:275:53: error: ‘mpf_ptr’ was not declared in this scope
 __XIP_FPO_DLL xip_fpo_exc_t xip_fpo_fix_get_f      (mpf_ptr, xip_fpo_fix_srcptr);
                                                     ^~~~~~~
/tools/Xilinx/Vitis_HLS/2020.2/include/floating_point_v7_0_bitacc_cmodel.h:275:80: error: expected primary-expression before ‘)’ token
 __XIP_FPO_DLL xip_fpo_exc_t xip_fpo_fix_get_f      (mpf_ptr, xip_fpo_fix_srcptr);
                                                                                ^
/tools/Xilinx/Vitis_HLS/2020.2/include/floating_point_v7_0_bitacc_cmodel.h:275:80: error: expression list treated as compound expression in initializer [-fpermissive]
In file included from /tools/Xilinx/Vitis_HLS/2020.2/include/hls_half.h:71:0,
                 from /tools/Xilinx/Vitis_HLS/2020.2/include/etc/ap_private.h:91,
                 from /tools/Xilinx/Vitis_HLS/2020.2/include/ap_common.h:646,
                 from /tools/Xilinx/Vitis_HLS/2020.2/include/ap_int.h:55,
                 from /tools/Xilinx/Vitis_HLS/2020.2/include/ap_axi_sdata.h:88,
                 from /home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/include/common/xf_structs.hpp:27,
                 from /home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/include/common/xf_common.hpp:20,
                 from /home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/include/common/xf_sw_utils.hpp:20,
                 from /home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/include/common/xf_headers.hpp:28,
                 from ../../../../xf_resize_tb.cpp:17:
/tools/Xilinx/Vitis_HLS/2020.2/include/hls_half_fpo.h: In member function ‘xip_fpo_exc_t half::xip_fpo_get_data(xip_fpo_ptr) const’:
/tools/Xilinx/Vitis_HLS/2020.2/include/hls_half_fpo.h:379:15: error: ‘struct __xip_fpo_struct’ has no member named ‘_xip_fpo_d’; did you mean ‘_xip_fpo_exp’?
         *(op->_xip_fpo_d) = ((mp_limb_t)(m_data & 0x3FF) + (mp_limb_t)(0x400)) << (8 * sizeof(*(op->_xip_fpo_d)) - 11);
               ^~~~~~~~~~
/tools/Xilinx/Vitis_HLS/2020.2/include/hls_half_fpo.h:379:101: error: ‘struct __xip_fpo_struct’ has no member named ‘_xip_fpo_d’; did you mean ‘_xip_fpo_exp’?
         *(op->_xip_fpo_d) = ((mp_limb_t)(m_data & 0x3FF) + (mp_limb_t)(0x400)) << (8 * sizeof(*(op->_xip_fpo_d)) - 11);
                                                                                                     ^~~~~~~~~~
/tools/Xilinx/Vitis_HLS/2020.2/include/hls_half_fpo.h: In member function ‘void half::xip_fpo_set_data(xip_fpo_ptr)’:
/tools/Xilinx/Vitis_HLS/2020.2/include/hls_half_fpo.h:76:10: error: ‘struct __mpfr_struct’ has no member named ‘_mpfr_d’; did you mean ‘_mpfr_exp’?
     (m)->_mpfr_d = (x)->_xip_fpo_d;
          ^
/tools/Xilinx/Vitis_HLS/2020.2/include/hls_half_fpo.h:417:9: note: in expansion of macro ‘XIP_FPO_2_MPFR’
         XIP_FPO_2_MPFR(fr, op);
         ^~~~~~~~~~~~~~
/tools/Xilinx/Vitis_HLS/2020.2/include/hls_half_fpo.h:76:25: error: ‘struct __xip_fpo_struct’ has no member named ‘_xip_fpo_d’; did you mean ‘_xip_fpo_exp’?
     (m)->_mpfr_d = (x)->_xip_fpo_d;
                         ^
/tools/Xilinx/Vitis_HLS/2020.2/include/hls_half_fpo.h:417:9: note: in expansion of macro ‘XIP_FPO_2_MPFR’
         XIP_FPO_2_MPFR(fr, op);
         ^~~~~~~~~~~~~~
/tools/Xilinx/Vitis_HLS/2020.2/include/hls_half_fpo.h:428:30: error: ‘struct __xip_fpo_struct’ has no member named ‘_xip_fpo_d’; did you mean ‘_xip_fpo_exp’?
             m_data |= (*(op->_xip_fpo_d) << 1) >> (8 * sizeof(*(op->_xip_fpo_d)) - 10);
                              ^~~~~~~~~~
/tools/Xilinx/Vitis_HLS/2020.2/include/hls_half_fpo.h:428:69: error: ‘struct __xip_fpo_struct’ has no member named ‘_xip_fpo_d’; did you mean ‘_xip_fpo_exp’?
             m_data |= (*(op->_xip_fpo_d) << 1) >> (8 * sizeof(*(op->_xip_fpo_d)) - 10);
                                                                     ^~~~~~~~~~
In file included from /tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/cfenv:41:0,
                 from /tools/Xilinx/Vitis_HLS/2020.2/include/ap_fixed_base.h:78,
                 from /tools/Xilinx/Vitis_HLS/2020.2/include/ap_fixed.h:56,
                 from /tools/Xilinx/Vitis_HLS/2020.2/include/ap_int.h:364,
                 from /tools/Xilinx/Vitis_HLS/2020.2/include/ap_axi_sdata.h:88,
                 from /home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/include/common/xf_structs.hpp:27,
                 from /home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/include/common/xf_common.hpp:20,
                 from /home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/include/common/xf_sw_utils.hpp:20,
                 from /home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/include/common/xf_headers.hpp:28,
                 from ../../../../xf_resize_tb.cpp:17:
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/fenv.h: At global scope:
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/fenv.h:75:29: error: ‘fexcept_t’ was not declared in this scope
 extern int fegetexceptflag (fexcept_t *__flagp, int __excepts) __THROW;
                             ^~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/fenv.h:75:40: error: ‘__flagp’ was not declared in this scope
 extern int fegetexceptflag (fexcept_t *__flagp, int __excepts) __THROW;
                                        ^~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/fenv.h:75:49: error: expected primary-expression before ‘int’
 extern int fegetexceptflag (fexcept_t *__flagp, int __excepts) __THROW;
                                                 ^~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/fenv.h:75:62: error: expression list treated as compound expression in initializer [-fpermissive]
 extern int fegetexceptflag (fexcept_t *__flagp, int __excepts) __THROW;
                                                              ^
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/fenv.h:88:35: error: ‘fexcept_t’ does not name a type
 extern int fesetexceptflag (const fexcept_t *__flagp, int __excepts) __THROW;
                                   ^~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/fenv.h:97:36: error: ‘fexcept_t’ does not name a type
 extern int fetestexceptflag (const fexcept_t *__flagp, int __excepts) __THROW;
                                    ^~~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/fenv.h:114:22: error: ‘fenv_t’ was not declared in this scope
 extern int fegetenv (fenv_t *__envp) __THROW;
                      ^~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/fenv.h:114:30: error: ‘__envp’ was not declared in this scope
 extern int fegetenv (fenv_t *__envp) __THROW;
                              ^~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/fenv.h:119:26: error: ‘fenv_t’ was not declared in this scope
 extern int feholdexcept (fenv_t *__envp) __THROW;
                          ^~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/fenv.h:119:34: error: ‘__envp’ was not declared in this scope
 extern int feholdexcept (fenv_t *__envp) __THROW;
                                  ^~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/fenv.h:123:28: error: ‘fenv_t’ does not name a type
 extern int fesetenv (const fenv_t *__envp) __THROW;
                            ^~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/fenv.h:128:31: error: ‘fenv_t’ does not name a type
 extern int feupdateenv (const fenv_t *__envp) __THROW;
                               ^~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/fenv.h:136:23: error: ‘femode_t’ was not declared in this scope
 extern int fegetmode (femode_t *__modep) __THROW;
                       ^~~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/fenv.h:136:33: error: ‘__modep’ was not declared in this scope
 extern int fegetmode (femode_t *__modep) __THROW;
                                 ^~~~~~~
/home/xilinx/ros2_ws/acceleration/firmware/select/sysroots/aarch64-xilinx-linux/usr/include/fenv.h:140:29: error: ‘femode_t’ does not name a type
 extern int fesetmode (const femode_t *__modep) __THROW;
                             ^~~~~~~~
In file included from /tools/Xilinx/Vitis_HLS/2020.2/include/ap_fixed_base.h:78:0,
                 from /tools/Xilinx/Vitis_HLS/2020.2/include/ap_fixed.h:56,
                 from /tools/Xilinx/Vitis_HLS/2020.2/include/ap_int.h:364,
                 from /tools/Xilinx/Vitis_HLS/2020.2/include/ap_axi_sdata.h:88,
                 from /home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/include/common/xf_structs.hpp:27,
                 from /home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/include/common/xf_common.hpp:20,
                 from /home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/include/common/xf_sw_utils.hpp:20,
                 from /home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/include/common/xf_headers.hpp:28,
                 from ../../../../xf_resize_tb.cpp:17:
/tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/cfenv:61:11: error: ‘::fenv_t’ has not been declared
   using ::fenv_t;
           ^~~~~~
/tools/Xilinx/Vitis_HLS/2020.2/tps/lnx64/gcc-6.2.0/include/c++/6.2.0/cfenv:62:11: error: ‘::fexcept_t’ has not been declared
   using ::fexcept_t;
           ^~~~~~~~~
In file included from /tools/Xilinx/Vitis_HLS/2020.2/include/ap_int.h:55:0,
                 from /tools/Xilinx/Vitis_HLS/2020.2/include/ap_axi_sdata.h:88,
                 from /home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/include/common/xf_structs.hpp:27,
                 from /home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/include/common/xf_common.hpp:20,
                 from /home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/include/common/xf_sw_utils.hpp:20,
                 from /home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/include/common/xf_headers.hpp:28,
                 from ../../../../xf_resize_tb.cpp:17:
/tools/Xilinx/Vitis_HLS/2020.2/include/ap_fixed_base.h: In member function ‘double ap_fixed_base<_AP_W, _AP_I, _AP_S, _AP_Q, _AP_O, _AP_N>::to_double() const’:
/tools/Xilinx/Vitis_HLS/2020.2/include/ap_fixed_base.h:881:38: error: ‘FE_TONEAREST’ was not declared in this scope
     _AP_WARNING(std::fegetround() != FE_TONEAREST,
                                      ^
/tools/Xilinx/Vitis_HLS/2020.2/include/ap_common.h:97:10: note: in definition of macro ‘_AP_WARNING’
     if ((cond)) {                               \
          ^~~~
/tools/Xilinx/Vitis_HLS/2020.2/include/ap_fixed_base.h: In member function ‘float ap_fixed_base<_AP_W, _AP_I, _AP_S, _AP_Q, _AP_O, _AP_N>::to_float() const’:
/tools/Xilinx/Vitis_HLS/2020.2/include/ap_fixed_base.h:931:38: error: ‘FE_TONEAREST’ was not declared in this scope
     _AP_WARNING(std::fegetround() != FE_TONEAREST,
                                      ^
/tools/Xilinx/Vitis_HLS/2020.2/include/ap_common.h:97:10: note: in definition of macro ‘_AP_WARNING’
     if ((cond)) {                               \
          ^~~~
/tools/Xilinx/Vitis_HLS/2020.2/include/ap_fixed_base.h: In member function ‘half ap_fixed_base<_AP_W, _AP_I, _AP_S, _AP_Q, _AP_O, _AP_N>::to_half() const’:
/tools/Xilinx/Vitis_HLS/2020.2/include/ap_fixed_base.h:978:38: error: ‘FE_TONEAREST’ was not declared in this scope
     _AP_WARNING(std::fegetround() != FE_TONEAREST,
                                      ^
/tools/Xilinx/Vitis_HLS/2020.2/include/ap_common.h:97:10: note: in definition of macro ‘_AP_WARNING’
     if ((cond)) {                               \
          ^~~~
In file included from /home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/include/common/xf_structs.hpp:27:0,
                 from /home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/include/common/xf_common.hpp:20,
                 from /home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/include/common/xf_sw_utils.hpp:20,
                 from /home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/include/common/xf_headers.hpp:28,
                 from ../../../../xf_resize_tb.cpp:17:
/tools/Xilinx/Vitis_HLS/2020.2/include/ap_axi_sdata.h: At global scope:
/tools/Xilinx/Vitis_HLS/2020.2/include/ap_axi_sdata.h:97:40: warning: variable templates only available with -std=c++14 or -std=gnu++14
 template <typename T> constexpr size_t bitwidth = sizeof(T) * CHAR_BIT;
                                        ^~~~~~~~
/tools/Xilinx/Vitis_HLS/2020.2/include/ap_axi_sdata.h:99:38: warning: variable templates only available with -std=c++14 or -std=gnu++14
 template <size_t W> constexpr size_t bitwidth<ap_int<W>> = W;
                                      ^~~~~~~~~~~~~~~~~~~
/tools/Xilinx/Vitis_HLS/2020.2/include/ap_axi_sdata.h:100:38: warning: variable templates only available with -std=c++14 or -std=gnu++14
 template <size_t W> constexpr size_t bitwidth<ap_uint<W>> = W;
                                      ^~~~~~~~~~~~~~~~~~~~
/tools/Xilinx/Vitis_HLS/2020.2/include/ap_axi_sdata.h:102:18: warning: variable templates only available with -std=c++14 or -std=gnu++14
 constexpr size_t bitwidth<ap_fixed<_AP_W, _AP_I, _AP_Q, _AP_O, _AP_N>> = _AP_W;
                  ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
/tools/Xilinx/Vitis_HLS/2020.2/include/ap_axi_sdata.h:104:18: warning: variable templates only available with -std=c++14 or -std=gnu++14
 constexpr size_t bitwidth<ap_ufixed<_AP_W, _AP_I, _AP_Q, _AP_O, _AP_N>> = _AP_W;
                  ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
/tools/Xilinx/Vitis_HLS/2020.2/include/ap_axi_sdata.h:107:18: warning: variable templates only available with -std=c++14 or -std=gnu++14
 constexpr size_t bytewidth = (bitwidth<T> + CHAR_BIT - 1) / CHAR_BIT;
                  ^~~~~~~~~
make[1]: *** [csim.mk:74: obj/xf_resize_tb.o] Error 1
ERROR: [SIM 211-100] 'csim_design' failed: compilation error(s).
INFO: [SIM 211-3] *************** CSIM finish ***************
INFO: [HLS 200-111] Finished Command csim_design CPU user time: 2.13 seconds. CPU system time: 0.26 seconds. Elapsed time: 2.12 seconds; current allocated memory: 195.021 MB.
4
    while executing
"source run_hls.tcl"
    ("uplevel" body line 1)
    invoked from within
"uplevel \#0 [list source $arg] "

INFO: [Common 17-206] Exiting vitis_hls at Sun Aug 29 12:24:07 2021...
make: *** [Makefile:249: runhls] Error 1

This setup is known to work with other HLS examples. These issues just arise when trying the vision examples. I spent some time researching similar issues in the public domain, as well as bypassing some of the undefined symbols by manually adding flags to cflags but it didn't scale nice and errors kept piling up.

Any guidance will be appreciated.

@vt-lib-support
Copy link
Collaborator

Hi @vmayoral ,

I'm assuming you are building this example on a x86 CPU. If yes, the L1 examples expects OpenCV includes and libs also for x86. Hence the OPENCV_INCLUDE and OPENCV_LIB variables should point to the x86 version and not the aarch64 libs that you seem to be using from the sysroot path.
Please do this change and let know if you still see any issues.

@vmayoral
Copy link
Author

@vt-lib-support thanks for the quick reaction. Appreciate it.

As a side comment:

I'm assuming you are building this example on a x86 CPU. If yes, the L1 examples expects OpenCV includes and libs also for x86.

Then this should be specified clearly, and an example should be provided (even if hardcoded for a particular setup) so that users have a good intuition of what's expected. There're too many assumption of previous background and familiarity with tools across the libraries. Note there's prior evidence showing how the current setup leads to confusion (e.g. here).

I did what's suggested:

source /tools/Xilinx/Vitis/2020.2/settings64.sh
export DEVICE=/home/xilinx/ros2_ws/acceleration/firmware/select/platform/kv260_base.xpfm
export OPENCV_INCLUDE=/usr/include/opencv4
export OPENCV_LIB=/usr/lib/x86_64-linux-gnu/
export LD_LIBRARY_PATH=$LD_LIBRARY_PATH:/usr/lib/x86_64-linux-gnu/
make run CSIM=1 CSYNTH=0 COSIM=0

and still crashes:

dump
xilinx@xilinx:~/ros2_ws/src/Vitis_Libraries/vision/L1/examples/resize$ make run CSIM=1 CSYNTH=0 COSIM=0
Configured: settings.tcl
----
set XPART XCK26-SFVC784-2LV-C
set CSIM 1
set CSYNTH 0
set COSIM 0
set VIVADO_SYN 0
set VIVADO_IMPL 0
set XF_PROJ_ROOT "/home/xilinx/ros2_ws/src/Vitis_Libraries/vision/"
set OPENCV_INCLUDE "/usr/include/opencv4"
set OPENCV_LIB "/usr/lib/x86_64-linux-gnu/"
set CUR_DIR "/home/xilinx/ros2_ws/src/Vitis_Libraries/vision/L1/examples/resize"
----
vitis_hls -f run_hls.tcl;

****** Vitis HLS - High-Level Synthesis from C, C++ and OpenCL v2020.2.2 (64-bit)
  **** SW Build 3118627 on Tue Feb  9 05:13:49 MST 2021
  **** IP Build 3115676 on Tue Feb  9 10:48:11 MST 2021
    ** Copyright 1986-2021 Xilinx, Inc. All Rights Reserved.

source /tools/Xilinx/Vitis_HLS/2020.2/scripts/vitis_hls/hls.tcl -notrace
INFO: [HLS 200-10] Running '/tools/Xilinx/Vitis_HLS/2020.2/bin/unwrapped/lnx64.o/vitis_hls'
INFO: [HLS 200-10] For user 'xilinx' on host 'xilinx' (Linux_x86_64 version 5.11.0-27-generic) on Mon Aug 30 10:08:49 CEST 2021
INFO: [HLS 200-10] On os Ubuntu 20.04.2 LTS
INFO: [HLS 200-10] In directory '/home/xilinx/ros2_ws/src/Vitis_Libraries/vision/L1/examples/resize'
Sourcing Tcl script 'run_hls.tcl'
INFO: [HLS 200-1510] Running: open_project -reset resize.prj
INFO: [HLS 200-10] Opening and resetting project '/home/xilinx/ros2_ws/src/Vitis_Libraries/vision/L1/examples/resize/resize.prj'.
WARNING: [HLS 200-40] No /home/xilinx/ros2_ws/src/Vitis_Libraries/vision/L1/examples/resize/resize.prj/sol1/sol1.aps file found.
INFO: [HLS 200-1510] Running: add_files /home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/examples/resize/xf_resize_accel.cpp -cflags -I/home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/include -I /home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/examples/resize/build -I ./ -D__SDSVHLS__ -std=c++0x -csimflags -I/home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/include -I /home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/examples/resize/build -I ./ -D__SDSVHLS__ -std=c++0x
INFO: [HLS 200-10] Adding design file '/home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/examples/resize/xf_resize_accel.cpp' to the project
INFO: [HLS 200-1510] Running: add_files -tb /home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/examples/resize/xf_resize_tb.cpp -cflags -I/usr/include/opencv4 -I/home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/include -I /home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/examples/resize/build -I ./ -D__SDSVHLS__ -std=c++0x -csimflags -I/home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/include -I /home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/examples/resize/build -I ./ -D__SDSVHLS__ -std=c++0x
INFO: [HLS 200-10] Adding test bench file '/home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/examples/resize/xf_resize_tb.cpp' to the project
INFO: [HLS 200-1510] Running: set_top resize_accel
INFO: [HLS 200-1510] Running: open_solution -reset sol1
INFO: [HLS 200-10] Creating and opening solution '/home/xilinx/ros2_ws/src/Vitis_Libraries/vision/L1/examples/resize/resize.prj/sol1'.
INFO: [HLS 200-10] Cleaning up the solution database.
WARNING: [HLS 200-40] No /home/xilinx/ros2_ws/src/Vitis_Libraries/vision/L1/examples/resize/resize.prj/sol1/sol1.aps file found.
INFO: [HLS 200-1505] Using default flow_target 'vivado'
Resolution: For help on HLS 200-1505 see www.xilinx.com/cgi-bin/docs/rdoc?v=2020.2;t=hls+guidance;d=200-1505.html
INFO: [HLS 200-1510] Running: set_part XCK26-SFVC784-2LV-C
INFO: [HLS 200-10] Setting target device to 'xck26-sfvc784-2LV-c'
INFO: [HLS 200-1510] Running: create_clock -period 3.3
INFO: [SYN 201-201] Setting up clock 'default' with a period of 3.3ns.
INFO: [HLS 200-1510] Running: csim_design -ldflags -L /usr/lib/x86_64-linux-gnu/ -lopencv_imgcodecs -lopencv_imgproc -lopencv_core -lopencv_highgui -lopencv_flann -lopencv_features2d -argv  /home/xilinx/ros2_ws/src/Vitis_Libraries/vision//data/128x128.png
INFO: [SIM 211-2] *************** CSIM start ***************
INFO: [SIM 211-4] CSIM will launch GCC as the compiler.
make[1]: Entering directory '/home/xilinx/ros2_ws/src/Vitis_Libraries/vision/L1/examples/resize/resize.prj/sol1/csim/build'
   Compiling ../../../../xf_resize_tb.cpp in debug mode
make[1]: Leaving directory '/home/xilinx/ros2_ws/src/Vitis_Libraries/vision/L1/examples/resize/resize.prj/sol1/csim/build'
In file included from /home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/include/common/xf_structs.hpp:27:0,
                 from /home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/include/common/xf_common.hpp:20,
                 from /home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/include/common/xf_sw_utils.hpp:20,
                 from /home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/include/common/xf_headers.hpp:28,
                 from ../../../../xf_resize_tb.cpp:17:
/tools/Xilinx/Vitis_HLS/2020.2/include/ap_axi_sdata.h:97:40: warning: variable templates only available with -std=c++14 or -std=gnu++14
 template <typename T> constexpr size_t bitwidth = sizeof(T) * CHAR_BIT;
                                        ^~~~~~~~
/tools/Xilinx/Vitis_HLS/2020.2/include/ap_axi_sdata.h:99:38: warning: variable templates only available with -std=c++14 or -std=gnu++14
 template <size_t W> constexpr size_t bitwidth<ap_int<W>> = W;
                                      ^~~~~~~~~~~~~~~~~~~
/tools/Xilinx/Vitis_HLS/2020.2/include/ap_axi_sdata.h:100:38: warning: variable templates only available with -std=c++14 or -std=gnu++14
 template <size_t W> constexpr size_t bitwidth<ap_uint<W>> = W;
                                      ^~~~~~~~~~~~~~~~~~~~
/tools/Xilinx/Vitis_HLS/2020.2/include/ap_axi_sdata.h:102:18: warning: variable templates only available with -std=c++14 or -std=gnu++14
 constexpr size_t bitwidth<ap_fixed<_AP_W, _AP_I, _AP_Q, _AP_O, _AP_N>> = _AP_W;
                  ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
/tools/Xilinx/Vitis_HLS/2020.2/include/ap_axi_sdata.h:104:18: warning: variable templates only available with -std=c++14 or -std=gnu++14
 constexpr size_t bitwidth<ap_ufixed<_AP_W, _AP_I, _AP_Q, _AP_O, _AP_N>> = _AP_W;
                  ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
/tools/Xilinx/Vitis_HLS/2020.2/include/ap_axi_sdata.h:107:18: warning: variable templates only available with -std=c++14 or -std=gnu++14
 constexpr size_t bytewidth = (bitwidth<T> + CHAR_BIT - 1) / CHAR_BIT;
                  ^~~~~~~~~
../../../../xf_resize_tb.cpp: In function ‘int main(int, char**)’:
../../../../xf_resize_tb.cpp:67:72: error: ‘CV_INTER_LINEAR’ was not declared in this scope
     cv::resize(img, result_ocv, cv::Size(out_width, out_height), 0, 0, CV_INTER_LINEAR);
                                                                        ^~~~~~~~~~~~~~~
make[1]: *** [csim.mk:74: obj/xf_resize_tb.o] Error 1
ERROR: [SIM 211-100] 'csim_design' failed: compilation error(s).
INFO: [SIM 211-3] *************** CSIM finish ***************
INFO: [HLS 200-111] Finished Command csim_design CPU user time: 2.47 seconds. CPU system time: 0.32 seconds. Elapsed time: 2.56 seconds; current allocated memory: 195.019 MB.
4
    while executing
"source run_hls.tcl"
    ("uplevel" body line 1)
    invoked from within
"uplevel \#0 [list source $arg] "

INFO: [Common 17-206] Exiting vitis_hls at Mon Aug 30 10:08:53 2021...
make: *** [Makefile:249: runhls] Error 1

I then fixed this through #81. But again, there're linking errors:

make run CSIM=1 CSYNTH=0 COSIM=0
Configured: settings.tcl
----
set XPART XCK26-SFVC784-2LV-C
set CSIM 1
set CSYNTH 0
set COSIM 0
set VIVADO_SYN 0
set VIVADO_IMPL 0
set XF_PROJ_ROOT "/home/xilinx/ros2_ws/src/Vitis_Libraries/vision/"
set OPENCV_INCLUDE "/usr/include/opencv4"
set OPENCV_LIB "/usr/lib/x86_64-linux-gnu/"
set CUR_DIR "/home/xilinx/ros2_ws/src/Vitis_Libraries/vision/L1/examples/resize"
----
vitis_hls -f run_hls.tcl;

****** Vitis HLS - High-Level Synthesis from C, C++ and OpenCL v2020.2.2 (64-bit)
  **** SW Build 3118627 on Tue Feb  9 05:13:49 MST 2021
  **** IP Build 3115676 on Tue Feb  9 10:48:11 MST 2021
    ** Copyright 1986-2021 Xilinx, Inc. All Rights Reserved.

source /tools/Xilinx/Vitis_HLS/2020.2/scripts/vitis_hls/hls.tcl -notrace
INFO: [HLS 200-10] Running '/tools/Xilinx/Vitis_HLS/2020.2/bin/unwrapped/lnx64.o/vitis_hls'
INFO: [HLS 200-10] For user 'xilinx' on host 'xilinx' (Linux_x86_64 version 5.11.0-27-generic) on Mon Aug 30 10:30:35 CEST 2021
INFO: [HLS 200-10] On os Ubuntu 20.04.2 LTS
INFO: [HLS 200-10] In directory '/home/xilinx/ros2_ws/src/Vitis_Libraries/vision/L1/examples/resize'
Sourcing Tcl script 'run_hls.tcl'
INFO: [HLS 200-1510] Running: open_project -reset resize.prj
INFO: [HLS 200-10] Opening and resetting project '/home/xilinx/ros2_ws/src/Vitis_Libraries/vision/L1/examples/resize/resize.prj'.
WARNING: [HLS 200-40] No /home/xilinx/ros2_ws/src/Vitis_Libraries/vision/L1/examples/resize/resize.prj/sol1/sol1.aps file found.
INFO: [HLS 200-1510] Running: add_files /home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/examples/resize/xf_resize_accel.cpp -cflags -I/home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/include -I /home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/examples/resize/build -I ./ -D__SDSVHLS__ -std=c++0x -csimflags -I/home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/include -I /home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/examples/resize/build -I ./ -D__SDSVHLS__ -std=c++0x
INFO: [HLS 200-10] Adding design file '/home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/examples/resize/xf_resize_accel.cpp' to the project
INFO: [HLS 200-1510] Running: add_files -tb /home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/examples/resize/xf_resize_tb.cpp -cflags -I/usr/include/opencv4 -I/home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/include -I /home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/examples/resize/build -I ./ -D__SDSVHLS__ -std=c++0x -csimflags -I/home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/include -I /home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/examples/resize/build -I ./ -D__SDSVHLS__ -std=c++0x
INFO: [HLS 200-10] Adding test bench file '/home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/examples/resize/xf_resize_tb.cpp' to the project
INFO: [HLS 200-1510] Running: set_top resize_accel
INFO: [HLS 200-1510] Running: open_solution -reset sol1
INFO: [HLS 200-10] Creating and opening solution '/home/xilinx/ros2_ws/src/Vitis_Libraries/vision/L1/examples/resize/resize.prj/sol1'.
INFO: [HLS 200-10] Cleaning up the solution database.
WARNING: [HLS 200-40] No /home/xilinx/ros2_ws/src/Vitis_Libraries/vision/L1/examples/resize/resize.prj/sol1/sol1.aps file found.
INFO: [HLS 200-1505] Using default flow_target 'vivado'
Resolution: For help on HLS 200-1505 see www.xilinx.com/cgi-bin/docs/rdoc?v=2020.2;t=hls+guidance;d=200-1505.html
INFO: [HLS 200-1510] Running: set_part XCK26-SFVC784-2LV-C
INFO: [HLS 200-10] Setting target device to 'xck26-sfvc784-2LV-c'
INFO: [HLS 200-1510] Running: create_clock -period 3.3
INFO: [SYN 201-201] Setting up clock 'default' with a period of 3.3ns.
INFO: [HLS 200-1510] Running: csim_design -ldflags -L /usr/lib/x86_64-linux-gnu/ -lopencv_imgcodecs -lopencv_imgproc -lopencv_core  -lopencv_highgui -lopencv_flann -lopencv_features2d -argv  /home/xilinx/ros2_ws/src/Vitis_Libraries/vision//data/128x128.png
INFO: [SIM 211-2] *************** CSIM start ***************
INFO: [SIM 211-4] CSIM will launch GCC as the compiler.
make[1]: Entering directory '/home/xilinx/ros2_ws/src/Vitis_Libraries/vision/L1/examples/resize/resize.prj/sol1/csim/build'
   Compiling ../../../../xf_resize_tb.cpp in debug mode
   Compiling ../../../../xf_resize_accel.cpp in debug mode
   Generating csim.exe
make[1]: Leaving directory '/home/xilinx/ros2_ws/src/Vitis_Libraries/vision/L1/examples/resize/resize.prj/sol1/csim/build'
In file included from /home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/include/common/xf_structs.hpp:27:0,
                 from /home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/include/common/xf_common.hpp:20,
                 from /home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/include/common/xf_sw_utils.hpp:20,
                 from /home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/include/common/xf_headers.hpp:28,
                 from ../../../../xf_resize_tb.cpp:17:
/tools/Xilinx/Vitis_HLS/2020.2/include/ap_axi_sdata.h:97:40: warning: variable templates only available with -std=c++14 or -std=gnu++14
 template <typename T> constexpr size_t bitwidth = sizeof(T) * CHAR_BIT;
                                        ^~~~~~~~
/tools/Xilinx/Vitis_HLS/2020.2/include/ap_axi_sdata.h:99:38: warning: variable templates only available with -std=c++14 or -std=gnu++14
 template <size_t W> constexpr size_t bitwidth<ap_int<W>> = W;
                                      ^~~~~~~~~~~~~~~~~~~
/tools/Xilinx/Vitis_HLS/2020.2/include/ap_axi_sdata.h:100:38: warning: variable templates only available with -std=c++14 or -std=gnu++14
 template <size_t W> constexpr size_t bitwidth<ap_uint<W>> = W;
                                      ^~~~~~~~~~~~~~~~~~~~
/tools/Xilinx/Vitis_HLS/2020.2/include/ap_axi_sdata.h:102:18: warning: variable templates only available with -std=c++14 or -std=gnu++14
 constexpr size_t bitwidth<ap_fixed<_AP_W, _AP_I, _AP_Q, _AP_O, _AP_N>> = _AP_W;
                  ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
/tools/Xilinx/Vitis_HLS/2020.2/include/ap_axi_sdata.h:104:18: warning: variable templates only available with -std=c++14 or -std=gnu++14
 constexpr size_t bitwidth<ap_ufixed<_AP_W, _AP_I, _AP_Q, _AP_O, _AP_N>> = _AP_W;
                  ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
/tools/Xilinx/Vitis_HLS/2020.2/include/ap_axi_sdata.h:107:18: warning: variable templates only available with -std=c++14 or -std=gnu++14
 constexpr size_t bytewidth = (bitwidth<T> + CHAR_BIT - 1) / CHAR_BIT;
                  ^~~~~~~~~
In file included from /home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/include/common/xf_structs.hpp:27:0,
                 from /home/xilinx/ros2_ws/src/Vitis_Libraries/vision//L1/include/common/xf_common.hpp:20,
                 from ../../../../xf_resize_config.h:22,
                 from ../../../../xf_resize_accel.cpp:17:
/tools/Xilinx/Vitis_HLS/2020.2/include/ap_axi_sdata.h:97:40: warning: variable templates only available with -std=c++14 or -std=gnu++14
 template <typename T> constexpr size_t bitwidth = sizeof(T) * CHAR_BIT;
                                        ^~~~~~~~
/tools/Xilinx/Vitis_HLS/2020.2/include/ap_axi_sdata.h:99:38: warning: variable templates only available with -std=c++14 or -std=gnu++14
 template <size_t W> constexpr size_t bitwidth<ap_int<W>> = W;
                                      ^~~~~~~~~~~~~~~~~~~
/tools/Xilinx/Vitis_HLS/2020.2/include/ap_axi_sdata.h:100:38: warning: variable templates only available with -std=c++14 or -std=gnu++14
 template <size_t W> constexpr size_t bitwidth<ap_uint<W>> = W;
                                      ^~~~~~~~~~~~~~~~~~~~
/tools/Xilinx/Vitis_HLS/2020.2/include/ap_axi_sdata.h:102:18: warning: variable templates only available with -std=c++14 or -std=gnu++14
 constexpr size_t bitwidth<ap_fixed<_AP_W, _AP_I, _AP_Q, _AP_O, _AP_N>> = _AP_W;
                  ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
/tools/Xilinx/Vitis_HLS/2020.2/include/ap_axi_sdata.h:104:18: warning: variable templates only available with -std=c++14 or -std=gnu++14
 constexpr size_t bitwidth<ap_ufixed<_AP_W, _AP_I, _AP_Q, _AP_O, _AP_N>> = _AP_W;
                  ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
/tools/Xilinx/Vitis_HLS/2020.2/include/ap_axi_sdata.h:107:18: warning: variable templates only available with -std=c++14 or -std=gnu++14
 constexpr size_t bytewidth = (bitwidth<T> + CHAR_BIT - 1) / CHAR_BIT;
                  ^~~~~~~~~
/usr/lib/x86_64-linux-gnu//libgeos-3.8.0.so: undefined reference to `std::runtime_error::runtime_error(std::runtime_error&&)@GLIBCXX_3.4.26'
/usr/lib/x86_64-linux-gnu//libopencv_imgcodecs.so: undefined reference to `std::__cxx11::basic_stringstream<char, std::char_traits<char>, std::allocator<char> >::basic_stringstream()@GLIBCXX_3.4.26'
/usr/lib/x86_64-linux-gnu//libtbb.so.2: undefined reference to `__cxa_init_primary_exception@CXXABI_1.3.11'
/usr/lib/x86_64-linux-gnu//libtbb.so.2: undefined reference to `std::__exception_ptr::exception_ptr::exception_ptr(void*)@CXXABI_1.3.11'
/usr/lib/x86_64-linux-gnu//libdap.so.25: undefined reference to `std::logic_error::logic_error(std::logic_error&&)@GLIBCXX_3.4.26'
/usr/lib/x86_64-linux-gnu//libopencv_flann.so: undefined reference to `std::__cxx11::basic_ostringstream<char, std::char_traits<char>, std::allocator<char> >::basic_ostringstream()@GLIBCXX_3.4.26'
collect2: error: ld returned 1 exit status
make[1]: *** [Makefile.rules:393: csim.exe] Error 1
ERROR: [SIM 211-100] 'csim_design' failed: compilation error(s).
INFO: [SIM 211-3] *************** CSIM finish ***************
INFO: [HLS 200-111] Finished Command csim_design CPU user time: 8.22 seconds. CPU system time: 0.52 seconds. Elapsed time: 8.49 seconds; current allocated memory: 195.020 MB.
4
    while executing
"source run_hls.tcl"
    ("uplevel" body line 1)
    invoked from within
"uplevel \#0 [list source $arg] "

INFO: [Common 17-206] Exiting vitis_hls at Mon Aug 30 10:30:45 2021...
make: *** [Makefile:249: runhls] Error 1

@vt-lib-support, is there a public CI that shows that this is maintained and works as is for 2020.2?

@vt-lib-support
Copy link
Collaborator

Hi @vmayoral ,

The info related to the OpenCV lib version according to the target OS is mentioned in the Prerequisites section of the documentation. We will enhance this information and also will copy into the README too for easy visibility to the users.

2020.2 Vision library is tested against OpenCV version 3.4.2, as mentioned in the documentation. So, the fix that you provided in #81 might not be applicable to 2020.2

The 2021.1 release ( which happens to be the latest release) uses OpenCV 4.4 (mentioned in the documentation) and already uses the APIs that you provided in #81

Looking at the linking errors that you posted, seems like it is error related to incompatibility between the OpenCV libs and the tool's GCC compiler version. Please try building the OpenCV libs compatible to the GCC version that you are using for the CSIM build.

Please let us know for any further assistance.

@vmayoral
Copy link
Author

2020.2 Vision library is tested against OpenCV version 3.4.2, as mentioned in the documentation. So, the fix that you provided in #81 might not be applicable to 2020.2

I think you meant https://xilinx.github.io/Vitis_Libraries/vision/2020.2/overview.html#getting-started-with-vitis-vision, right?

Looking at the linking errors that you posted, seems like it is error related to incompatibility between the OpenCV libs and the tool's GCC compiler version. Please try building the OpenCV libs compatible to the GCC version that you are using for the CSIM build.

Thanks for the heads up. Can you be more specific? Which version of the library with which version of the compiler?

@prkrmx
Copy link

prkrmx commented Oct 1, 2021

hi @vmayoral
Did you solve it? I have similar errors. In my case i used opencv version 4.4.0 #85

vt-lib-support pushed a commit that referenced this issue Nov 2, 2021
9d08e6d Update index.html
0114189 Merge pull request #93 from RepoOps/gh-pages-20210927-032739
cd52282 [xf_hpc] update release version
cdffb7b update index
83b408e Merge pull request #92 from RepoOps/gh-pages-20210927-031807
c126cc9 Update release.rst.txt
b5ede6b [xf_hpc] build documents
96dd08b Merge pull request #82 from RepoOps/gh-pages-20210615-023421
b886cd4 update documents
a1cf259 Merge pull request #80 from RepoOps/gh-pages-20210614-075104
fb13c8b update release notes
6095532 Merge pull request #79 from RepoOps/gh-pages-20210610-095713
8f6b9e2 fix version errors
73ab5f2 Merge pull request #78 from RepoOps/gh-pages-20210610-070616
b5d0b01 update release notes
46a85d2 Merge pull request #76 from RepoOps/gh-pages-20210608-045126
32fd3e1 build documents
ceb4613 update docs

Co-authored-by: sdausr <sdausr@xilinx.com>
vt-lib-support pushed a commit that referenced this issue Nov 2, 2021
789c513 update for 2021.2
e7bbd4c Merge pull request #79 from RepoOps/gh-pages-20210928-141749
1045dbc [xf-sparse] build documents
49e2961 Merge pull request #78 from changg/sync_gh-pages
7128089 sync gh-pages from top
79f39fe Merge pull request #76 from RepoOps/gh-pages-20210611-032342
99723d6 build document
b3e42bd update revision number in release note
c81e854 Merge pull request #74 from RepoOps/gh-pages-20210610-143010
38f4ad8 build document with updated release note
2ae9905 update release note
0e580b4 Merge pull request #72 from RepoOps/gh-pages-20210608-044548
6ec9ef9 build documnet
baa0232 update doc version to 2021.1
6344726 change benchmark.html folder

Co-authored-by: sdausr <sdausr@xilinx.com>
vt-lib-support pushed a commit that referenced this issue Nov 2, 2021
* Squashed 'hpc/' changes from 1c6ac0e..f28aa9a

f28aa9a update release notes
e9f956a Merge branch 'dev2021.1' into next
04c17bc update release notes
366f577 update release notes
26599b6 Merge branch 'dev2021.1' into next
4e191d6 updates
a40a413 update notes
01d565a Merge branch 'next' of gitenterprise.xilinx.com:FaaSApps/xf_hpc into next
fd999c0 Merge branch 'dev2021.1' into next
dbe158b fix version error
bb0beb4 Merge pull request #77 from liangm/next
95b21eb merge dev2021.1
4ee28f5 Merge branch 'dev2021.1' of gitenterprise.xilinx.com:FaaSApps/xf_hpc into dev2021.1
a188c06 update makefiles
26fd0ea update release notes
b7d6078 Update params.mk
550280c Update params.mk

git-subtree-dir: hpc
git-subtree-split: f28aa9aab61bf0cb761a7844986cad6a2320479f

* Squashed 'codec/' changes from de296e9..15255a2

15255a2 Merge pull request #81 from yunleiz/mergenext
b0d5c50 [kernel] fixed clamping after the idct
c91288a Merge pull request #79 from yunleiz/mergenext
d6b90eb [doc] image for document

git-subtree-dir: codec
git-subtree-split: 15255a29990d2fd5cbdbf24ff74223cb5612419a

Co-authored-by: sdausr <sdausr@xilinx.com>
vt-lib-support pushed a commit that referenced this issue May 18, 2023
f0e0005 Merge pull request #83 from liyuanz/add_time
361913d add time
64ea0f9 Merge pull request #82 from liyuanz/next
8386360 update
370bb26 Merge pull request #81 from liyuanz/next
4716b14 add memory or time
2b41485 Merge pull request #79 from yuxiangz/makefile
a5df524 update makefile for hw_emu
bc265f0 Merge pull request #77 from tianminr/L3_dev
bd9b7c5 Merge pull request #76 from yuxiangz/sizein
d2a2ab6 update scanline case
591cebc add golden out size
4898af0 push request for regress
4cee657 turn up
c13c69b update error kernel for kernel_ratio setting
1379c0d Merge remote-tracking branch 'xf_ultrasound/next' into L3_dev
43ecd58 update L2 graph & kernel ratio setup for scanline
5c9a2e5 update host
8a8bc80 Merge pull request #71 from yuxiangz/graph_l3
bd69baf turn memory up 3
b7ee6a5 Merge pull request #73 from siyangw/IO_Dev
f516f8e Merge pull request #72 from tianminr/L3_dev
a467fa5 turn memory up
9d3c0cc revise description.json
bbaee77 Merge pull request #70 from siyangw/IO_Dev
f0d9dd8 scanline graph update
22db53e scanline sw_emu pass
b0487bd update port name
4404027 sw_emu pass
2571dd9 plane wave pass sw_emu
19e4cc3 Merge remote-tracking branch 'ultrasound/next' into IO_Dev
081a881 plane wave pass x86sim and aiesim
5c27d04 build graph for L3

Co-authored-by: sdausr <sdausr@xilinx.com>
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

No branches or pull requests

3 participants