diff --git a/projects/tt_um_led_matrix_ayla_lin/LICENSE b/projects/tt_um_led_matrix_ayla_lin/LICENSE new file mode 100644 index 0000000..261eeb9 --- /dev/null +++ b/projects/tt_um_led_matrix_ayla_lin/LICENSE @@ -0,0 +1,201 @@ + Apache License + Version 2.0, January 2004 + http://www.apache.org/licenses/ + + TERMS AND CONDITIONS FOR USE, REPRODUCTION, AND DISTRIBUTION + + 1. Definitions. + + "License" shall mean the terms and conditions for use, reproduction, + and distribution as defined by Sections 1 through 9 of this document. + + "Licensor" shall mean the copyright owner or entity authorized by + the copyright owner that is granting the License. + + "Legal Entity" shall mean the union of the acting entity and all + other entities that control, are controlled by, or are under common + control with that entity. For the purposes of this definition, + "control" means (i) the power, direct or indirect, to cause the + direction or management of such entity, whether by contract or + otherwise, or (ii) ownership of fifty percent (50%) or more of the + outstanding shares, or (iii) beneficial ownership of such entity. + + "You" (or "Your") shall mean an individual or Legal Entity + exercising permissions granted by this License. + + "Source" form shall mean the preferred form for making modifications, + including but not limited to software source code, documentation + source, and configuration files. + + "Object" form shall mean any form resulting from mechanical + transformation or translation of a Source form, including but + not limited to compiled object code, generated documentation, + and conversions to other media types. + + "Work" shall mean the work of authorship, whether in Source or + Object form, made available under the License, as indicated by a + copyright notice that is included in or attached to the work + (an example is provided in the Appendix below). + + "Derivative Works" shall mean any work, whether in Source or Object + form, that is based on (or derived from) the Work and for which the + editorial revisions, annotations, elaborations, or other modifications + represent, as a whole, an original work of authorship. For the purposes + of this License, Derivative Works shall not include works that remain + separable from, or merely link (or bind by name) to the interfaces of, + the Work and Derivative Works thereof. + + "Contribution" shall mean any work of authorship, including + the original version of the Work and any modifications or additions + to that Work or Derivative Works thereof, that is intentionally + submitted to Licensor for inclusion in the Work by the copyright owner + or by an individual or Legal Entity authorized to submit on behalf of + the copyright owner. For the purposes of this definition, "submitted" + means any form of electronic, verbal, or written communication sent + to the Licensor or its representatives, including but not limited to + communication on electronic mailing lists, source code control systems, + and issue tracking systems that are managed by, or on behalf of, the + Licensor for the purpose of discussing and improving the Work, but + excluding communication that is conspicuously marked or otherwise + designated in writing by the copyright owner as "Not a Contribution." + + "Contributor" shall mean Licensor and any individual or Legal Entity + on behalf of whom a Contribution has been received by Licensor and + subsequently incorporated within the Work. + + 2. Grant of Copyright License. Subject to the terms and conditions of + this License, each Contributor hereby grants to You a perpetual, + worldwide, non-exclusive, no-charge, royalty-free, irrevocable + copyright license to reproduce, prepare Derivative Works of, + publicly display, publicly perform, sublicense, and distribute the + Work and such Derivative Works in Source or Object form. + + 3. Grant of Patent License. Subject to the terms and conditions of + this License, each Contributor hereby grants to You a perpetual, + worldwide, non-exclusive, no-charge, royalty-free, irrevocable + (except as stated in this section) patent license to make, have made, + use, offer to sell, sell, import, and otherwise transfer the Work, + where such license applies only to those patent claims licensable + by such Contributor that are necessarily infringed by their + Contribution(s) alone or by combination of their Contribution(s) + with the Work to which such Contribution(s) was submitted. If You + institute patent litigation against any entity (including a + cross-claim or counterclaim in a lawsuit) alleging that the Work + or a Contribution incorporated within the Work constitutes direct + or contributory patent infringement, then any patent licenses + granted to You under this License for that Work shall terminate + as of the date such litigation is filed. + + 4. Redistribution. You may reproduce and distribute copies of the + Work or Derivative Works thereof in any medium, with or without + modifications, and in Source or Object form, provided that You + meet the following conditions: + + (a) You must give any other recipients of the Work or + Derivative Works a copy of this License; and + + (b) You must cause any modified files to carry prominent notices + stating that You changed the files; and + + (c) You must retain, in the Source form of any Derivative Works + that You distribute, all copyright, patent, trademark, and + attribution notices from the Source form of the Work, + excluding those notices that do not pertain to any part of + the Derivative Works; and + + (d) If the Work includes a "NOTICE" text file as part of its + distribution, then any Derivative Works that You distribute must + include a readable copy of the attribution notices contained + within such NOTICE file, excluding those notices that do not + pertain to any part of the Derivative Works, in at least one + of the following places: within a NOTICE text file distributed + as part of the Derivative Works; within the Source form or + documentation, if provided along with the Derivative Works; or, + within a display generated by the Derivative Works, if and + wherever such third-party notices normally appear. The contents + of the NOTICE file are for informational purposes only and + do not modify the License. You may add Your own attribution + notices within Derivative Works that You distribute, alongside + or as an addendum to the NOTICE text from the Work, provided + that such additional attribution notices cannot be construed + as modifying the License. + + You may add Your own copyright statement to Your modifications and + may provide additional or different license terms and conditions + for use, reproduction, or distribution of Your modifications, or + for any such Derivative Works as a whole, provided Your use, + reproduction, and distribution of the Work otherwise complies with + the conditions stated in this License. + + 5. Submission of Contributions. Unless You explicitly state otherwise, + any Contribution intentionally submitted for inclusion in the Work + by You to the Licensor shall be under the terms and conditions of + this License, without any additional terms or conditions. + Notwithstanding the above, nothing herein shall supersede or modify + the terms of any separate license agreement you may have executed + with Licensor regarding such Contributions. + + 6. Trademarks. This License does not grant permission to use the trade + names, trademarks, service marks, or product names of the Licensor, + except as required for reasonable and customary use in describing the + origin of the Work and reproducing the content of the NOTICE file. + + 7. Disclaimer of Warranty. Unless required by applicable law or + agreed to in writing, Licensor provides the Work (and each + Contributor provides its Contributions) on an "AS IS" BASIS, + WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or + implied, including, without limitation, any warranties or conditions + of TITLE, NON-INFRINGEMENT, MERCHANTABILITY, or FITNESS FOR A + PARTICULAR PURPOSE. You are solely responsible for determining the + appropriateness of using or redistributing the Work and assume any + risks associated with Your exercise of permissions under this License. + + 8. Limitation of Liability. In no event and under no legal theory, + whether in tort (including negligence), contract, or otherwise, + unless required by applicable law (such as deliberate and grossly + negligent acts) or agreed to in writing, shall any Contributor be + liable to You for damages, including any direct, indirect, special, + incidental, or consequential damages of any character arising as a + result of this License or out of the use or inability to use the + Work (including but not limited to damages for loss of goodwill, + work stoppage, computer failure or malfunction, or any and all + other commercial damages or losses), even if such Contributor + has been advised of the possibility of such damages. + + 9. Accepting Warranty or Additional Liability. While redistributing + the Work or Derivative Works thereof, You may choose to offer, + and charge a fee for, acceptance of support, warranty, indemnity, + or other liability obligations and/or rights consistent with this + License. However, in accepting such obligations, You may act only + on Your own behalf and on Your sole responsibility, not on behalf + of any other Contributor, and only if You agree to indemnify, + defend, and hold each Contributor harmless for any liability + incurred by, or claims asserted against, such Contributor by reason + of your accepting any such warranty or additional liability. + + END OF TERMS AND CONDITIONS + + APPENDIX: How to apply the Apache License to your work. + + To apply the Apache License to your work, attach the following + boilerplate notice, with the fields enclosed by brackets "[]" + replaced with your own identifying information. (Don't include + the brackets!) The text should be enclosed in the appropriate + comment syntax for the file format. We also recommend that a + file or class name and description of purpose be included on the + same "printed page" as the copyright notice for easier + identification within third-party archives. + + Copyright [yyyy] [name of copyright owner] + + Licensed under the Apache License, Version 2.0 (the "License"); + you may not use this file except in compliance with the License. + You may obtain a copy of the License at + + http://www.apache.org/licenses/LICENSE-2.0 + + Unless required by applicable law or agreed to in writing, software + distributed under the License is distributed on an "AS IS" BASIS, + WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. + See the License for the specific language governing permissions and + limitations under the License. diff --git a/projects/tt_um_led_matrix_ayla_lin/commit_id.json b/projects/tt_um_led_matrix_ayla_lin/commit_id.json new file mode 100644 index 0000000..2a60558 --- /dev/null +++ b/projects/tt_um_led_matrix_ayla_lin/commit_id.json @@ -0,0 +1,9 @@ +{ + "app": "Tiny Tapeout tt08 3c88e6eb", + "repo": "https://github.com/ayla-lin/led-matrix", + "commit": "e0c27bd05c048b394fa563ad8259de0976f230aa", + "workflow_url": "https://github.com/ayla-lin/led-matrix/actions/runs/10240515442", + "sort_id": 1725845368774, + "openlane_version": "OpenLane2 2.0.8", + "pdk_version": "open_pdks bdc9412b3e468c102d01b7cf6337be06ec6e9c9a" +} \ No newline at end of file diff --git a/projects/tt_um_led_matrix_ayla_lin/docs/info.md b/projects/tt_um_led_matrix_ayla_lin/docs/info.md new file mode 100644 index 0000000..c6cb5d9 --- /dev/null +++ b/projects/tt_um_led_matrix_ayla_lin/docs/info.md @@ -0,0 +1,25 @@ + + +## How it works + +This project contains 3 components: +* SPI for sending instructions and bitmaps to MAX7219/7221. +* ROM for storing bitmaps to display. +* Controller for instructing SPI and ROM. + +## How to test + +Test using FPGA and a breadboard + +## External hardware + +* 32x8 LED Matrix. +* MAX7219/7221. +* 5V battery. diff --git a/projects/tt_um_led_matrix_ayla_lin/info.yaml b/projects/tt_um_led_matrix_ayla_lin/info.yaml new file mode 100644 index 0000000..bba8e16 --- /dev/null +++ b/projects/tt_um_led_matrix_ayla_lin/info.yaml @@ -0,0 +1,59 @@ +# Tiny Tapeout project information +project: + title: "32x8 LED Matrix Animation" # Project title + author: "Ayla Lin, Pavit Thakur, Lauren Low" # Your name + discord: "" # Your discord username, for communication and automatically assigning you a Tapeout role (optional) + description: "An animation using a 32x8 matrix, switching between a beaver logo and the letters 'BWSI'" # One line description of what your project does + language: "Verilog" # other examples include SystemVerilog, Amaranth, VHDL, etc + clock_hz: 33000000 # Clock frequency in Hz (or 0 if not applicable) + + # How many tiles your design occupies? A single tile is about 167x108 uM. + tiles: "1x1" # Valid values: 1x1, 1x2, 2x2, 3x2, 4x2, 6x2 or 8x2 + + # Your top module name must start with "tt_um_". Make it unique by including your github username: + top_module: "tt_um_led_matrix_ayla_lin" + + # List your project's source files here. + # Source files must be in ./src and you must list each source file separately, one per line. + # Don't forget to also update `PROJECT_SOURCES` in test/Makefile. + source_files: + - "project.v" + - "top.v" + - "controller.v" + - "rom.v" + - "spi.v" + +# The pinout of your project. Leave unused pins blank. DO NOT delete or add any pins. +pinout: + # Inputs + ui[0]: "" + ui[1]: "" + ui[2]: "" + ui[3]: "" + ui[4]: "" + ui[5]: "" + ui[6]: "" + ui[7]: "" + + # Outputs + uo[0]: "spi_clk" + uo[1]: "spi_cs_n" + uo[2]: "spi_mosi" + uo[3]: "" + uo[4]: "" + uo[5]: "" + uo[6]: "" + uo[7]: "" + + # Bidirectional pins + uio[0]: "" + uio[1]: "" + uio[2]: "" + uio[3]: "" + uio[4]: "" + uio[5]: "" + uio[6]: "" + uio[7]: "" + +# Do not change! +yaml_version: 6 diff --git a/projects/tt_um_led_matrix_ayla_lin/stats/metrics.csv b/projects/tt_um_led_matrix_ayla_lin/stats/metrics.csv new file mode 100644 index 0000000..09ae307 --- /dev/null +++ b/projects/tt_um_led_matrix_ayla_lin/stats/metrics.csv @@ -0,0 +1,269 @@ +Metric,Value +design__lint_error__count,0 +design__lint_timing_construct__count,0 +design__lint_warning__count,1 +design__inferred_latch__count,0 +design__instance__count,845 +design__instance__area,6531.26 +design__instance_unmapped__count,0 +synthesis__check_error__count,0 +design__max_slew_violation__count__corner:nom_tt_025C_1v80,0 +design__max_fanout_violation__count__corner:nom_tt_025C_1v80,0 +design__max_cap_violation__count__corner:nom_tt_025C_1v80,0 +power__internal__total,5.711450648959726e-05 +power__switching__total,3.138642568956129e-05 +power__leakage__total,7.357324083301364e-09 +power__total,8.85082918102853e-05 +clock__skew__worst_hold__corner:nom_tt_025C_1v80,0.00442 +clock__skew__worst_setup__corner:nom_tt_025C_1v80,0.00442 +timing__hold__ws__corner:nom_tt_025C_1v80,0.338101 +timing__setup__ws__corner:nom_tt_025C_1v80,14.27596 +timing__hold__tns__corner:nom_tt_025C_1v80,0.0 +timing__setup__tns__corner:nom_tt_025C_1v80,0.0 +timing__hold__wns__corner:nom_tt_025C_1v80,0.0 +timing__setup__wns__corner:nom_tt_025C_1v80,0.0 +timing__hold_vio__count__corner:nom_tt_025C_1v80,0 +timing__hold_r2r__ws__corner:nom_tt_025C_1v80,0.338101 +timing__hold_r2r_vio__count__corner:nom_tt_025C_1v80,0 +timing__setup_vio__count__corner:nom_tt_025C_1v80,0 +timing__setup_r2r__ws__corner:nom_tt_025C_1v80,inf +timing__setup_r2r_vio__count__corner:nom_tt_025C_1v80,0 +design__max_slew_violation__count__corner:nom_ss_100C_1v60,0 +design__max_fanout_violation__count__corner:nom_ss_100C_1v60,0 +design__max_cap_violation__count__corner:nom_ss_100C_1v60,0 +clock__skew__worst_hold__corner:nom_ss_100C_1v60,0.007185 +clock__skew__worst_setup__corner:nom_ss_100C_1v60,0.007185 +timing__hold__ws__corner:nom_ss_100C_1v60,0.929987 +timing__setup__ws__corner:nom_ss_100C_1v60,13.067334 +timing__hold__tns__corner:nom_ss_100C_1v60,0.0 +timing__setup__tns__corner:nom_ss_100C_1v60,0.0 +timing__hold__wns__corner:nom_ss_100C_1v60,0.0 +timing__setup__wns__corner:nom_ss_100C_1v60,0.0 +timing__hold_vio__count__corner:nom_ss_100C_1v60,0 +timing__hold_r2r__ws__corner:nom_ss_100C_1v60,0.929987 +timing__hold_r2r_vio__count__corner:nom_ss_100C_1v60,0 +timing__setup_vio__count__corner:nom_ss_100C_1v60,0 +timing__setup_r2r__ws__corner:nom_ss_100C_1v60,inf +timing__setup_r2r_vio__count__corner:nom_ss_100C_1v60,0 +design__max_slew_violation__count__corner:nom_ff_n40C_1v95,0 +design__max_fanout_violation__count__corner:nom_ff_n40C_1v95,0 +design__max_cap_violation__count__corner:nom_ff_n40C_1v95,0 +clock__skew__worst_hold__corner:nom_ff_n40C_1v95,0.003289 +clock__skew__worst_setup__corner:nom_ff_n40C_1v95,0.003289 +timing__hold__ws__corner:nom_ff_n40C_1v95,0.120136 +timing__setup__ws__corner:nom_ff_n40C_1v95,14.76114 +timing__hold__tns__corner:nom_ff_n40C_1v95,0.0 +timing__setup__tns__corner:nom_ff_n40C_1v95,0.0 +timing__hold__wns__corner:nom_ff_n40C_1v95,0.0 +timing__setup__wns__corner:nom_ff_n40C_1v95,0.0 +timing__hold_vio__count__corner:nom_ff_n40C_1v95,0 +timing__hold_r2r__ws__corner:nom_ff_n40C_1v95,0.120136 +timing__hold_r2r_vio__count__corner:nom_ff_n40C_1v95,0 +timing__setup_vio__count__corner:nom_ff_n40C_1v95,0 +timing__setup_r2r__ws__corner:nom_ff_n40C_1v95,inf +timing__setup_r2r_vio__count__corner:nom_ff_n40C_1v95,0 +design__max_slew_violation__count,0 +design__max_fanout_violation__count,0 +design__max_cap_violation__count,0 +clock__skew__worst_hold,0.007614 +clock__skew__worst_setup,0.003143 +timing__hold__ws,0.116459 +timing__setup__ws,13.021461 +timing__hold__tns,0.0 +timing__setup__tns,0.0 +timing__hold__wns,0.0 +timing__setup__wns,0.0 +timing__hold_vio__count,0 +timing__hold_r2r__ws,0.116459 +timing__hold_r2r_vio__count,0 +timing__setup_vio__count,0 +timing__setup_r2r__ws,inf +timing__setup_r2r_vio__count,0 +design__die__bbox,0.0 0.0 161.0 111.52 +design__core__bbox,2.76 2.72 158.24 108.8 +flow__warnings__count,1 +flow__errors__count,0 +design__io,45 +design__die__area,17954.7 +design__core__area,16493.3 +design__instance__count__stdcell,845 +design__instance__area__stdcell,6531.26 +design__instance__count__macros,0 +design__instance__area__macros,0 +design__instance__utilization,0.395995 +design__instance__utilization__stdcell,0.395995 +design__power_grid_violation__count__net:VGND,0 +design__power_grid_violation__count__net:VPWR,0 +design__power_grid_violation__count,0 +timing__drv__floating__nets,0 +timing__drv__floating__pins,0 +design__instance__displacement__total,0 +design__instance__displacement__mean,0 +design__instance__displacement__max,0 +route__wirelength__estimated,11083.1 +design__violations,0 +design__instance__count__setup_buffer,0 +design__instance__count__hold_buffer,4 +antenna__violating__nets,0 +antenna__violating__pins,0 +route__antenna_violation__count,0 +route__net,639 +route__net__special,2 +route__drc_errors__iter:1,327 +route__wirelength__iter:1,12895 +route__drc_errors__iter:2,118 +route__wirelength__iter:2,12775 +route__drc_errors__iter:3,148 +route__wirelength__iter:3,12679 +route__drc_errors__iter:4,34 +route__wirelength__iter:4,12617 +route__drc_errors__iter:5,0 +route__wirelength__iter:5,12623 +route__drc_errors,0 +route__wirelength,12623 +route__vias,4488 +route__vias__singlecut,4488 +route__vias__multicut,0 +design__disconnected_pin__count,16 +design__critical_disconnected_pin__count,0 +route__wirelength__max,176.18 +timing__unannotated_net__count__corner:nom_tt_025C_1v80,37 +timing__unannotated_net_filtered__count__corner:nom_tt_025C_1v80,0 +timing__unannotated_net__count__corner:nom_ss_100C_1v60,37 +timing__unannotated_net_filtered__count__corner:nom_ss_100C_1v60,0 +timing__unannotated_net__count__corner:nom_ff_n40C_1v95,37 +timing__unannotated_net_filtered__count__corner:nom_ff_n40C_1v95,0 +design__max_slew_violation__count__corner:min_tt_025C_1v80,0 +design__max_fanout_violation__count__corner:min_tt_025C_1v80,0 +design__max_cap_violation__count__corner:min_tt_025C_1v80,0 +clock__skew__worst_hold__corner:min_tt_025C_1v80,0.004225 +clock__skew__worst_setup__corner:min_tt_025C_1v80,0.004225 +timing__hold__ws__corner:min_tt_025C_1v80,0.332062 +timing__setup__ws__corner:min_tt_025C_1v80,14.303014 +timing__hold__tns__corner:min_tt_025C_1v80,0.0 +timing__setup__tns__corner:min_tt_025C_1v80,0.0 +timing__hold__wns__corner:min_tt_025C_1v80,0.0 +timing__setup__wns__corner:min_tt_025C_1v80,0.0 +timing__hold_vio__count__corner:min_tt_025C_1v80,0 +timing__hold_r2r__ws__corner:min_tt_025C_1v80,0.332062 +timing__hold_r2r_vio__count__corner:min_tt_025C_1v80,0 +timing__setup_vio__count__corner:min_tt_025C_1v80,0 +timing__setup_r2r__ws__corner:min_tt_025C_1v80,inf +timing__setup_r2r_vio__count__corner:min_tt_025C_1v80,0 +timing__unannotated_net__count__corner:min_tt_025C_1v80,37 +timing__unannotated_net_filtered__count__corner:min_tt_025C_1v80,0 +design__max_slew_violation__count__corner:min_ss_100C_1v60,0 +design__max_fanout_violation__count__corner:min_ss_100C_1v60,0 +design__max_cap_violation__count__corner:min_ss_100C_1v60,0 +clock__skew__worst_hold__corner:min_ss_100C_1v60,0.006786 +clock__skew__worst_setup__corner:min_ss_100C_1v60,0.006786 +timing__hold__ws__corner:min_ss_100C_1v60,0.92165 +timing__setup__ws__corner:min_ss_100C_1v60,13.114957 +timing__hold__tns__corner:min_ss_100C_1v60,0.0 +timing__setup__tns__corner:min_ss_100C_1v60,0.0 +timing__hold__wns__corner:min_ss_100C_1v60,0.0 +timing__setup__wns__corner:min_ss_100C_1v60,0.0 +timing__hold_vio__count__corner:min_ss_100C_1v60,0 +timing__hold_r2r__ws__corner:min_ss_100C_1v60,0.92165 +timing__hold_r2r_vio__count__corner:min_ss_100C_1v60,0 +timing__setup_vio__count__corner:min_ss_100C_1v60,0 +timing__setup_r2r__ws__corner:min_ss_100C_1v60,inf +timing__setup_r2r_vio__count__corner:min_ss_100C_1v60,0 +timing__unannotated_net__count__corner:min_ss_100C_1v60,37 +timing__unannotated_net_filtered__count__corner:min_ss_100C_1v60,0 +design__max_slew_violation__count__corner:min_ff_n40C_1v95,0 +design__max_fanout_violation__count__corner:min_ff_n40C_1v95,0 +design__max_cap_violation__count__corner:min_ff_n40C_1v95,0 +clock__skew__worst_hold__corner:min_ff_n40C_1v95,0.003143 +clock__skew__worst_setup__corner:min_ff_n40C_1v95,0.003143 +timing__hold__ws__corner:min_ff_n40C_1v95,0.116459 +timing__setup__ws__corner:min_ff_n40C_1v95,14.779077 +timing__hold__tns__corner:min_ff_n40C_1v95,0.0 +timing__setup__tns__corner:min_ff_n40C_1v95,0.0 +timing__hold__wns__corner:min_ff_n40C_1v95,0.0 +timing__setup__wns__corner:min_ff_n40C_1v95,0.0 +timing__hold_vio__count__corner:min_ff_n40C_1v95,0 +timing__hold_r2r__ws__corner:min_ff_n40C_1v95,0.116459 +timing__hold_r2r_vio__count__corner:min_ff_n40C_1v95,0 +timing__setup_vio__count__corner:min_ff_n40C_1v95,0 +timing__setup_r2r__ws__corner:min_ff_n40C_1v95,inf +timing__setup_r2r_vio__count__corner:min_ff_n40C_1v95,0 +timing__unannotated_net__count__corner:min_ff_n40C_1v95,37 +timing__unannotated_net_filtered__count__corner:min_ff_n40C_1v95,0 +design__max_slew_violation__count__corner:max_tt_025C_1v80,0 +design__max_fanout_violation__count__corner:max_tt_025C_1v80,0 +design__max_cap_violation__count__corner:max_tt_025C_1v80,0 +clock__skew__worst_hold__corner:max_tt_025C_1v80,0.004637 +clock__skew__worst_setup__corner:max_tt_025C_1v80,0.004637 +timing__hold__ws__corner:max_tt_025C_1v80,0.343336 +timing__setup__ws__corner:max_tt_025C_1v80,14.249443 +timing__hold__tns__corner:max_tt_025C_1v80,0.0 +timing__setup__tns__corner:max_tt_025C_1v80,0.0 +timing__hold__wns__corner:max_tt_025C_1v80,0.0 +timing__setup__wns__corner:max_tt_025C_1v80,0.0 +timing__hold_vio__count__corner:max_tt_025C_1v80,0 +timing__hold_r2r__ws__corner:max_tt_025C_1v80,0.343336 +timing__hold_r2r_vio__count__corner:max_tt_025C_1v80,0 +timing__setup_vio__count__corner:max_tt_025C_1v80,0 +timing__setup_r2r__ws__corner:max_tt_025C_1v80,inf +timing__setup_r2r_vio__count__corner:max_tt_025C_1v80,0 +timing__unannotated_net__count__corner:max_tt_025C_1v80,37 +timing__unannotated_net_filtered__count__corner:max_tt_025C_1v80,0 +design__max_slew_violation__count__corner:max_ss_100C_1v60,0 +design__max_fanout_violation__count__corner:max_ss_100C_1v60,0 +design__max_cap_violation__count__corner:max_ss_100C_1v60,0 +clock__skew__worst_hold__corner:max_ss_100C_1v60,0.007614 +clock__skew__worst_setup__corner:max_ss_100C_1v60,0.007614 +timing__hold__ws__corner:max_ss_100C_1v60,0.938357 +timing__setup__ws__corner:max_ss_100C_1v60,13.021461 +timing__hold__tns__corner:max_ss_100C_1v60,0.0 +timing__setup__tns__corner:max_ss_100C_1v60,0.0 +timing__hold__wns__corner:max_ss_100C_1v60,0.0 +timing__setup__wns__corner:max_ss_100C_1v60,0.0 +timing__hold_vio__count__corner:max_ss_100C_1v60,0 +timing__hold_r2r__ws__corner:max_ss_100C_1v60,0.938357 +timing__hold_r2r_vio__count__corner:max_ss_100C_1v60,0 +timing__setup_vio__count__corner:max_ss_100C_1v60,0 +timing__setup_r2r__ws__corner:max_ss_100C_1v60,inf +timing__setup_r2r_vio__count__corner:max_ss_100C_1v60,0 +timing__unannotated_net__count__corner:max_ss_100C_1v60,37 +timing__unannotated_net_filtered__count__corner:max_ss_100C_1v60,0 +design__max_slew_violation__count__corner:max_ff_n40C_1v95,0 +design__max_fanout_violation__count__corner:max_ff_n40C_1v95,0 +design__max_cap_violation__count__corner:max_ff_n40C_1v95,0 +clock__skew__worst_hold__corner:max_ff_n40C_1v95,0.003456 +clock__skew__worst_setup__corner:max_ff_n40C_1v95,0.003456 +timing__hold__ws__corner:max_ff_n40C_1v95,0.124048 +timing__setup__ws__corner:max_ff_n40C_1v95,14.74289 +timing__hold__tns__corner:max_ff_n40C_1v95,0.0 +timing__setup__tns__corner:max_ff_n40C_1v95,0.0 +timing__hold__wns__corner:max_ff_n40C_1v95,0.0 +timing__setup__wns__corner:max_ff_n40C_1v95,0.0 +timing__hold_vio__count__corner:max_ff_n40C_1v95,0 +timing__hold_r2r__ws__corner:max_ff_n40C_1v95,0.124048 +timing__hold_r2r_vio__count__corner:max_ff_n40C_1v95,0 +timing__setup_vio__count__corner:max_ff_n40C_1v95,0 +timing__setup_r2r__ws__corner:max_ff_n40C_1v95,inf +timing__setup_r2r_vio__count__corner:max_ff_n40C_1v95,0 +timing__unannotated_net__count__corner:max_ff_n40C_1v95,37 +timing__unannotated_net_filtered__count__corner:max_ff_n40C_1v95,0 +timing__unannotated_net__count,37 +timing__unannotated_net_filtered__count,0 +design_powergrid__voltage__worst__net:VPWR__corner:nom_tt_025C_1v80,1.79993 +design_powergrid__drop__average__net:VPWR__corner:nom_tt_025C_1v80,1.8 +design_powergrid__drop__worst__net:VPWR__corner:nom_tt_025C_1v80,0.0000675868 +design_powergrid__voltage__worst__net:VGND__corner:nom_tt_025C_1v80,0.0000501865 +design_powergrid__drop__average__net:VGND__corner:nom_tt_025C_1v80,0.00000157265 +design_powergrid__drop__worst__net:VGND__corner:nom_tt_025C_1v80,0.0000501865 +ir__voltage__worst,1.8000000000000000444089209850062616169452667236328125 +ir__drop__avg,0.000001579999999999999907326193003542780246561960666440427303314208984375 +ir__drop__worst,0.000067600000000000003293719463837163630159921012818813323974609375 +magic__drc_error__count,0 +magic__illegal_overlap__count,0 +design__lvs_device_difference__count,0 +design__lvs_net_difference__count,0 +design__lvs_property_fail__count,0 +design__lvs_error__count,0 +design__lvs_unmatched_device__count,0 +design__lvs_unmatched_net__count,0 +design__lvs_unmatched_pin__count,0 diff --git a/projects/tt_um_led_matrix_ayla_lin/stats/synthesis-stats.txt b/projects/tt_um_led_matrix_ayla_lin/stats/synthesis-stats.txt new file mode 100644 index 0000000..6659388 --- /dev/null +++ b/projects/tt_um_led_matrix_ayla_lin/stats/synthesis-stats.txt @@ -0,0 +1,55 @@ +65. Printing statistics. + +=== tt_um_led_matrix_ayla_lin === + + Number of wires: 509 + Number of wire bits: 544 + Number of public wires: 156 + Number of public wire bits: 191 + Number of memories: 0 + Number of memory bits: 0 + Number of processes: 0 + Number of cells: 525 + sky130_fd_sc_hd__a211o_2 4 + sky130_fd_sc_hd__a21bo_2 1 + sky130_fd_sc_hd__a21o_2 13 + sky130_fd_sc_hd__a21oi_2 7 + sky130_fd_sc_hd__a221o_2 20 + sky130_fd_sc_hd__a22o_2 70 + sky130_fd_sc_hd__a311o_2 1 + sky130_fd_sc_hd__a31o_2 8 + sky130_fd_sc_hd__a32o_2 2 + sky130_fd_sc_hd__a41o_2 3 + sky130_fd_sc_hd__and2_2 19 + sky130_fd_sc_hd__and2b_2 7 + sky130_fd_sc_hd__and3_2 26 + sky130_fd_sc_hd__and3b_2 12 + sky130_fd_sc_hd__and4_2 6 + sky130_fd_sc_hd__and4b_2 1 + sky130_fd_sc_hd__buf_2 24 + sky130_fd_sc_hd__dfxtp_2 147 + sky130_fd_sc_hd__inv_2 8 + sky130_fd_sc_hd__mux2_1 3 + sky130_fd_sc_hd__nand2_2 20 + sky130_fd_sc_hd__nand2b_2 4 + sky130_fd_sc_hd__nand3_2 8 + sky130_fd_sc_hd__nand4_2 1 + sky130_fd_sc_hd__nor2_2 17 + sky130_fd_sc_hd__nor3_2 2 + sky130_fd_sc_hd__nor3b_2 1 + sky130_fd_sc_hd__o211a_2 25 + sky130_fd_sc_hd__o211ai_2 2 + sky130_fd_sc_hd__o21a_2 5 + sky130_fd_sc_hd__o21ai_2 8 + sky130_fd_sc_hd__o21bai_2 2 + sky130_fd_sc_hd__o22a_2 1 + sky130_fd_sc_hd__o311a_2 2 + sky130_fd_sc_hd__o31ai_2 1 + sky130_fd_sc_hd__o32a_2 2 + sky130_fd_sc_hd__or2_2 23 + sky130_fd_sc_hd__or3_2 8 + sky130_fd_sc_hd__or3b_2 5 + sky130_fd_sc_hd__or4_2 6 + + Chip area for module '\tt_um_led_matrix_ayla_lin': 6361.100800 + diff --git a/projects/tt_um_led_matrix_ayla_lin/tt_um_led_matrix_ayla_lin.gds b/projects/tt_um_led_matrix_ayla_lin/tt_um_led_matrix_ayla_lin.gds new file mode 100644 index 0000000..8826b68 Binary files /dev/null and b/projects/tt_um_led_matrix_ayla_lin/tt_um_led_matrix_ayla_lin.gds differ diff --git a/projects/tt_um_led_matrix_ayla_lin/tt_um_led_matrix_ayla_lin.lef b/projects/tt_um_led_matrix_ayla_lin/tt_um_led_matrix_ayla_lin.lef new file mode 100644 index 0000000..26a8ee5 --- /dev/null +++ b/projects/tt_um_led_matrix_ayla_lin/tt_um_led_matrix_ayla_lin.lef @@ -0,0 +1,505 @@ +VERSION 5.7 ; + NOWIREEXTENSIONATPIN ON ; + DIVIDERCHAR "/" ; + BUSBITCHARS "[]" ; +MACRO tt_um_led_matrix_ayla_lin + CLASS BLOCK ; + FOREIGN tt_um_led_matrix_ayla_lin ; + ORIGIN 0.000 0.000 ; + SIZE 161.000 BY 111.520 ; + PIN VGND + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER met4 ; + RECT 21.580 2.480 23.180 109.040 ; + END + PORT + LAYER met4 ; + RECT 60.450 2.480 62.050 109.040 ; + END + PORT + LAYER met4 ; + RECT 99.320 2.480 100.920 109.040 ; + END + PORT + LAYER met4 ; + RECT 138.190 2.480 139.790 109.040 ; + END + END VGND + PIN VPWR + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER met4 ; + RECT 18.280 2.480 19.880 109.040 ; + END + PORT + LAYER met4 ; + RECT 57.150 2.480 58.750 109.040 ; + END + PORT + LAYER met4 ; + RECT 96.020 2.480 97.620 109.040 ; + END + PORT + LAYER met4 ; + RECT 134.890 2.480 136.490 109.040 ; + END + END VPWR + PIN clk + DIRECTION INPUT ; + USE SIGNAL ; + ANTENNAGATEAREA 0.852000 ; + PORT + LAYER met4 ; + RECT 143.830 110.520 144.130 111.520 ; + END + END clk + PIN ena + DIRECTION INPUT ; + USE SIGNAL ; + ANTENNAGATEAREA 0.196500 ; + PORT + LAYER met4 ; + RECT 146.590 110.520 146.890 111.520 ; + END + END ena + PIN rst_n + DIRECTION INPUT ; + USE SIGNAL ; + ANTENNAGATEAREA 0.196500 ; + PORT + LAYER met4 ; + RECT 141.070 110.520 141.370 111.520 ; + END + END rst_n + PIN ui_in[0] + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER met4 ; + RECT 138.310 110.520 138.610 111.520 ; + END + END ui_in[0] + PIN ui_in[1] + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER met4 ; + RECT 135.550 110.520 135.850 111.520 ; + END + END ui_in[1] + PIN ui_in[2] + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER met4 ; + RECT 132.790 110.520 133.090 111.520 ; + END + END ui_in[2] + PIN ui_in[3] + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER met4 ; + RECT 130.030 110.520 130.330 111.520 ; + END + END ui_in[3] + PIN ui_in[4] + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER met4 ; + RECT 127.270 110.520 127.570 111.520 ; + END + END ui_in[4] + PIN ui_in[5] + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER met4 ; + RECT 124.510 110.520 124.810 111.520 ; + END + END ui_in[5] + PIN ui_in[6] + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER met4 ; + RECT 121.750 110.520 122.050 111.520 ; + END + END ui_in[6] + PIN ui_in[7] + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER met4 ; + RECT 118.990 110.520 119.290 111.520 ; + END + END ui_in[7] + PIN uio_in[0] + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER met4 ; + RECT 116.230 110.520 116.530 111.520 ; + END + END uio_in[0] + PIN uio_in[1] + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER met4 ; + RECT 113.470 110.520 113.770 111.520 ; + END + END uio_in[1] + PIN uio_in[2] + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER met4 ; + RECT 110.710 110.520 111.010 111.520 ; + END + END uio_in[2] + PIN uio_in[3] + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER met4 ; + RECT 107.950 110.520 108.250 111.520 ; + END + END uio_in[3] + PIN uio_in[4] + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER met4 ; + RECT 105.190 110.520 105.490 111.520 ; + END + END uio_in[4] + PIN uio_in[5] + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER met4 ; + RECT 102.430 110.520 102.730 111.520 ; + END + END uio_in[5] + PIN uio_in[6] + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER met4 ; + RECT 99.670 110.520 99.970 111.520 ; + END + END uio_in[6] + PIN uio_in[7] + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER met4 ; + RECT 96.910 110.520 97.210 111.520 ; + END + END uio_in[7] + PIN uio_oe[0] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNADIFFAREA 0.445500 ; + PORT + LAYER met4 ; + RECT 49.990 110.520 50.290 111.520 ; + END + END uio_oe[0] + PIN uio_oe[1] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNADIFFAREA 0.445500 ; + PORT + LAYER met4 ; + RECT 47.230 110.520 47.530 111.520 ; + END + END uio_oe[1] + PIN uio_oe[2] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNADIFFAREA 0.445500 ; + PORT + LAYER met4 ; + RECT 44.470 110.520 44.770 111.520 ; + END + END uio_oe[2] + PIN uio_oe[3] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNADIFFAREA 0.445500 ; + PORT + LAYER met4 ; + RECT 41.710 110.520 42.010 111.520 ; + END + END uio_oe[3] + PIN uio_oe[4] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNADIFFAREA 0.445500 ; + PORT + LAYER met4 ; + RECT 38.950 110.520 39.250 111.520 ; + END + END uio_oe[4] + PIN uio_oe[5] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNADIFFAREA 0.445500 ; + PORT + LAYER met4 ; + RECT 36.190 110.520 36.490 111.520 ; + END + END uio_oe[5] + PIN uio_oe[6] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNADIFFAREA 0.445500 ; + PORT + LAYER met4 ; + RECT 33.430 110.520 33.730 111.520 ; + END + END uio_oe[6] + PIN uio_oe[7] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNADIFFAREA 0.445500 ; + PORT + LAYER met4 ; + RECT 30.670 110.520 30.970 111.520 ; + END + END uio_oe[7] + PIN uio_out[0] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNADIFFAREA 0.445500 ; + PORT + LAYER met4 ; + RECT 72.070 110.520 72.370 111.520 ; + END + END uio_out[0] + PIN uio_out[1] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNADIFFAREA 0.445500 ; + PORT + LAYER met4 ; + RECT 69.310 110.520 69.610 111.520 ; + END + END uio_out[1] + PIN uio_out[2] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNADIFFAREA 0.445500 ; + PORT + LAYER met4 ; + RECT 66.550 110.520 66.850 111.520 ; + END + END uio_out[2] + PIN uio_out[3] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNADIFFAREA 0.445500 ; + PORT + LAYER met4 ; + RECT 63.790 110.520 64.090 111.520 ; + END + END uio_out[3] + PIN uio_out[4] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNADIFFAREA 0.445500 ; + PORT + LAYER met4 ; + RECT 61.030 110.520 61.330 111.520 ; + END + END uio_out[4] + PIN uio_out[5] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNADIFFAREA 0.445500 ; + PORT + LAYER met4 ; + RECT 58.270 110.520 58.570 111.520 ; + END + END uio_out[5] + PIN uio_out[6] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNADIFFAREA 0.445500 ; + PORT + LAYER met4 ; + RECT 55.510 110.520 55.810 111.520 ; + END + END uio_out[6] + PIN uio_out[7] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNADIFFAREA 0.445500 ; + PORT + LAYER met4 ; + RECT 52.750 110.520 53.050 111.520 ; + END + END uio_out[7] + PIN uo_out[0] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNADIFFAREA 0.445500 ; + PORT + LAYER met4 ; + RECT 94.150 110.520 94.450 111.520 ; + END + END uo_out[0] + PIN uo_out[1] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNADIFFAREA 0.795200 ; + PORT + LAYER met4 ; + RECT 91.390 110.520 91.690 111.520 ; + END + END uo_out[1] + PIN uo_out[2] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNADIFFAREA 0.445500 ; + PORT + LAYER met4 ; + RECT 88.630 110.520 88.930 111.520 ; + END + END uo_out[2] + PIN uo_out[3] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNADIFFAREA 0.445500 ; + PORT + LAYER met4 ; + RECT 85.870 110.520 86.170 111.520 ; + END + END uo_out[3] + PIN uo_out[4] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNADIFFAREA 0.445500 ; + PORT + LAYER met4 ; + RECT 83.110 110.520 83.410 111.520 ; + END + END uo_out[4] + PIN uo_out[5] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNADIFFAREA 0.445500 ; + PORT + LAYER met4 ; + RECT 80.350 110.520 80.650 111.520 ; + END + END uo_out[5] + PIN uo_out[6] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNADIFFAREA 0.445500 ; + PORT + LAYER met4 ; + RECT 77.590 110.520 77.890 111.520 ; + END + END uo_out[6] + PIN uo_out[7] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNADIFFAREA 0.445500 ; + PORT + LAYER met4 ; + RECT 74.830 110.520 75.130 111.520 ; + END + END uo_out[7] + OBS + LAYER nwell ; + RECT 2.570 107.385 158.430 108.990 ; + RECT 2.570 101.945 158.430 104.775 ; + RECT 2.570 96.505 158.430 99.335 ; + RECT 2.570 91.065 158.430 93.895 ; + RECT 2.570 85.625 158.430 88.455 ; + RECT 2.570 80.185 158.430 83.015 ; + RECT 2.570 74.745 158.430 77.575 ; + RECT 2.570 69.305 158.430 72.135 ; + RECT 2.570 63.865 158.430 66.695 ; + RECT 2.570 58.425 158.430 61.255 ; + RECT 2.570 52.985 158.430 55.815 ; + RECT 2.570 47.545 158.430 50.375 ; + RECT 2.570 42.105 158.430 44.935 ; + RECT 2.570 36.665 158.430 39.495 ; + RECT 2.570 31.225 158.430 34.055 ; + RECT 2.570 25.785 158.430 28.615 ; + RECT 2.570 20.345 158.430 23.175 ; + RECT 2.570 14.905 158.430 17.735 ; + RECT 2.570 9.465 158.430 12.295 ; + RECT 2.570 4.025 158.430 6.855 ; + LAYER li1 ; + RECT 2.760 2.635 158.240 108.885 ; + LAYER met1 ; + RECT 2.760 2.480 158.240 109.040 ; + LAYER met2 ; + RECT 8.380 2.535 156.300 110.005 ; + LAYER met3 ; + RECT 18.290 2.555 154.495 109.985 ; + LAYER met4 ; + RECT 31.370 110.120 33.030 110.520 ; + RECT 34.130 110.120 35.790 110.520 ; + RECT 36.890 110.120 38.550 110.520 ; + RECT 39.650 110.120 41.310 110.520 ; + RECT 42.410 110.120 44.070 110.520 ; + RECT 45.170 110.120 46.830 110.520 ; + RECT 47.930 110.120 49.590 110.520 ; + RECT 50.690 110.120 52.350 110.520 ; + RECT 53.450 110.120 55.110 110.520 ; + RECT 56.210 110.120 57.870 110.520 ; + RECT 58.970 110.120 60.630 110.520 ; + RECT 61.730 110.120 63.390 110.520 ; + RECT 64.490 110.120 66.150 110.520 ; + RECT 67.250 110.120 68.910 110.520 ; + RECT 70.010 110.120 71.670 110.520 ; + RECT 72.770 110.120 74.430 110.520 ; + RECT 75.530 110.120 77.190 110.520 ; + RECT 78.290 110.120 79.950 110.520 ; + RECT 81.050 110.120 82.710 110.520 ; + RECT 83.810 110.120 85.470 110.520 ; + RECT 86.570 110.120 88.230 110.520 ; + RECT 89.330 110.120 90.990 110.520 ; + RECT 92.090 110.120 93.750 110.520 ; + RECT 94.850 110.120 96.510 110.520 ; + RECT 97.610 110.120 99.270 110.520 ; + RECT 100.370 110.120 102.030 110.520 ; + RECT 103.130 110.120 104.790 110.520 ; + RECT 105.890 110.120 107.550 110.520 ; + RECT 108.650 110.120 110.310 110.520 ; + RECT 111.410 110.120 113.070 110.520 ; + RECT 114.170 110.120 115.830 110.520 ; + RECT 116.930 110.120 118.590 110.520 ; + RECT 119.690 110.120 121.350 110.520 ; + RECT 122.450 110.120 124.110 110.520 ; + RECT 125.210 110.120 126.870 110.520 ; + RECT 127.970 110.120 129.630 110.520 ; + RECT 130.730 110.120 132.390 110.520 ; + RECT 133.490 110.120 135.150 110.520 ; + RECT 136.250 110.120 137.910 110.520 ; + RECT 139.010 110.120 140.670 110.520 ; + RECT 141.770 110.120 143.430 110.520 ; + RECT 144.530 110.120 146.190 110.520 ; + RECT 30.655 109.440 146.905 110.120 ; + RECT 30.655 42.335 56.750 109.440 ; + RECT 59.150 42.335 60.050 109.440 ; + RECT 62.450 42.335 95.620 109.440 ; + RECT 98.020 42.335 98.920 109.440 ; + RECT 101.320 42.335 134.490 109.440 ; + RECT 136.890 42.335 137.790 109.440 ; + RECT 140.190 42.335 146.905 109.440 ; + END +END tt_um_led_matrix_ayla_lin +END LIBRARY + diff --git a/projects/tt_um_led_matrix_ayla_lin/tt_um_led_matrix_ayla_lin.v b/projects/tt_um_led_matrix_ayla_lin/tt_um_led_matrix_ayla_lin.v new file mode 100644 index 0000000..f22fd38 --- /dev/null +++ b/projects/tt_um_led_matrix_ayla_lin/tt_um_led_matrix_ayla_lin.v @@ -0,0 +1,10491 @@ +module tt_um_led_matrix_ayla_lin (clk, + ena, + rst_n, + VPWR, + VGND, + ui_in, + uio_in, + uio_oe, + uio_out, + uo_out); + input clk; + input ena; + input rst_n; + input VPWR; + input VGND; + input [7:0] ui_in; + input [7:0] uio_in; + output [7:0] uio_oe; + output [7:0] uio_out; + output [7:0] uo_out; + + wire _000_; + wire _001_; + wire _002_; + wire _003_; + wire _004_; + wire _005_; + wire _006_; + wire _007_; + wire _008_; + wire _009_; + wire _010_; + wire _011_; + wire _012_; + wire _013_; + wire _014_; + wire _015_; + wire _016_; + wire _017_; + wire _018_; + wire _019_; + wire _020_; + wire _021_; + wire _022_; + wire _023_; + wire _024_; + wire _025_; + wire _026_; + wire _027_; + wire _028_; + wire _029_; + wire _030_; + wire _031_; + wire _032_; + wire _033_; + wire _034_; + wire _035_; + wire _036_; + wire _037_; + wire _038_; + wire _039_; + wire _040_; + wire _041_; + wire _042_; + wire _043_; + wire _044_; + wire _045_; + wire _046_; + wire _047_; + wire _048_; + wire _049_; + wire _050_; + wire _051_; + wire _052_; + wire _053_; + wire _054_; + wire _055_; + wire _056_; + wire _057_; + wire _058_; + wire _059_; + wire _060_; + wire _061_; + wire _062_; + wire _063_; + wire _064_; + wire _065_; + wire _066_; + wire _067_; + wire _068_; + wire _069_; + wire _070_; + wire _071_; + wire _072_; + wire _073_; + wire _074_; + wire _075_; + wire _076_; + wire _077_; + wire _078_; + wire _079_; + wire _080_; + wire _081_; + wire _082_; + wire _083_; + wire _084_; + wire _085_; + wire _086_; + wire _087_; + wire _088_; + wire _089_; + wire _090_; + wire _091_; + wire _092_; + wire _093_; + wire _094_; + wire _095_; + wire _096_; + wire _097_; + wire _098_; + wire _099_; + wire _100_; + wire _101_; + wire _102_; + wire _103_; + wire _104_; + wire _105_; + wire _106_; + wire _107_; + wire _108_; + wire _109_; + wire _110_; + wire _111_; + wire _112_; + wire _113_; + wire _114_; + wire _115_; + wire _116_; + wire _117_; + wire _118_; + wire _119_; + wire _120_; + wire _121_; + wire _122_; + wire _123_; + wire _124_; + wire _125_; + wire _126_; + wire _127_; + wire _128_; + wire _129_; + wire _130_; + wire _131_; + wire _132_; + wire _133_; + wire _134_; + wire _135_; + wire _136_; + wire _137_; + wire _138_; + wire _139_; + wire _140_; + wire _141_; + wire _142_; + wire _143_; + wire _144_; + wire _145_; + wire _146_; + wire _147_; + wire _148_; + wire _149_; + wire _150_; + wire _151_; + wire _152_; + wire _153_; + wire _154_; + wire _155_; + wire _156_; + wire _157_; + wire _158_; + wire _159_; + wire _160_; + wire _161_; + wire _162_; + wire _163_; + wire _164_; + wire _165_; + wire _166_; + wire _167_; + wire _168_; + wire _169_; + wire _170_; + wire _171_; + wire _172_; + wire _173_; + wire _174_; + wire _175_; + wire _176_; + wire _177_; + wire _178_; + wire _179_; + wire _180_; + wire _181_; + wire _182_; + wire _183_; + wire _184_; + wire _185_; + wire _186_; + wire _187_; + wire _188_; + wire _189_; + wire _190_; + wire _191_; + wire _192_; + wire _193_; + wire _194_; + wire _195_; + wire _196_; + wire _197_; + wire _198_; + wire _199_; + wire _200_; + wire _201_; + wire _202_; + wire _203_; + wire _204_; + wire _205_; + wire _206_; + wire _207_; + wire _208_; + wire _209_; + wire _210_; + wire _211_; + wire _212_; + wire _213_; + wire _214_; + wire _215_; + wire _216_; + wire _217_; + wire _218_; + wire _219_; + wire _220_; + wire _221_; + wire _222_; + wire _223_; + wire _224_; + wire _225_; + wire _226_; + wire _227_; + wire _228_; + wire _229_; + wire _230_; + wire _231_; + wire _232_; + wire _233_; + wire _234_; + wire _235_; + wire _236_; + wire _237_; + wire _238_; + wire _239_; + wire _240_; + wire _241_; + wire _242_; + wire _243_; + wire _244_; + wire _245_; + wire _246_; + wire _247_; + wire _248_; + wire _249_; + wire _250_; + wire _251_; + wire _252_; + wire _253_; + wire _254_; + wire _255_; + wire _256_; + wire _257_; + wire _258_; + wire _259_; + wire _260_; + wire _261_; + wire _262_; + wire _263_; + wire _264_; + wire _265_; + wire _266_; + wire _267_; + wire _268_; + wire _269_; + wire _270_; + wire _271_; + wire _272_; + wire _273_; + wire _274_; + wire _275_; + wire _276_; + wire _277_; + wire _278_; + wire _279_; + wire _280_; + wire _281_; + wire _282_; + wire _283_; + wire _284_; + wire _285_; + wire _286_; + wire _287_; + wire _288_; + wire _289_; + wire _290_; + wire _291_; + wire _292_; + wire _293_; + wire _294_; + wire _295_; + wire _296_; + wire _297_; + wire _298_; + wire _299_; + wire _300_; + wire _301_; + wire _302_; + wire _303_; + wire _304_; + wire _305_; + wire _306_; + wire _307_; + wire _308_; + wire _309_; + wire _310_; + wire _311_; + wire _312_; + wire _313_; + wire _314_; + wire _315_; + wire _316_; + wire _317_; + wire _318_; + wire _319_; + wire _320_; + wire _321_; + wire _322_; + wire _323_; + wire _324_; + wire _325_; + wire _326_; + wire _327_; + wire _328_; + wire _329_; + wire _330_; + wire _331_; + wire _332_; + wire _333_; + wire _334_; + wire _335_; + wire _336_; + wire _337_; + wire _338_; + wire _339_; + wire _340_; + wire _341_; + wire _342_; + wire _343_; + wire _344_; + wire _345_; + wire _346_; + wire _347_; + wire _348_; + wire _349_; + wire _350_; + wire _351_; + wire _352_; + wire \top_inst.address[0] ; + wire \top_inst.address[1] ; + wire \top_inst.address[2] ; + wire \top_inst.address[3] ; + wire \top_inst.address[4] ; + wire \top_inst.address[5] ; + wire \top_inst.address[6] ; + wire \top_inst.clk ; + wire \top_inst.controller_inst.counter[0] ; + wire \top_inst.controller_inst.counter[10] ; + wire \top_inst.controller_inst.counter[11] ; + wire \top_inst.controller_inst.counter[12] ; + wire \top_inst.controller_inst.counter[13] ; + wire \top_inst.controller_inst.counter[14] ; + wire \top_inst.controller_inst.counter[15] ; + wire \top_inst.controller_inst.counter[16] ; + wire \top_inst.controller_inst.counter[17] ; + wire \top_inst.controller_inst.counter[18] ; + wire \top_inst.controller_inst.counter[19] ; + wire \top_inst.controller_inst.counter[1] ; + wire \top_inst.controller_inst.counter[20] ; + wire \top_inst.controller_inst.counter[21] ; + wire \top_inst.controller_inst.counter[2] ; + wire \top_inst.controller_inst.counter[3] ; + wire \top_inst.controller_inst.counter[4] ; + wire \top_inst.controller_inst.counter[5] ; + wire \top_inst.controller_inst.counter[6] ; + wire \top_inst.controller_inst.counter[7] ; + wire \top_inst.controller_inst.counter[8] ; + wire \top_inst.controller_inst.counter[9] ; + wire \top_inst.controller_inst.spi_load ; + wire \top_inst.controller_inst.spi_load_next ; + wire \top_inst.controller_inst.spi_load_pending ; + wire \top_inst.controller_inst.spi_ready ; + wire \top_inst.controller_inst.state[0] ; + wire \top_inst.controller_inst.state[1] ; + wire \top_inst.controller_inst.state[2] ; + wire \top_inst.controller_inst.state[3] ; + wire \top_inst.counter[0] ; + wire \top_inst.counter[1] ; + wire \top_inst.counter[2] ; + wire \top_inst.counter[3] ; + wire \top_inst.data[0] ; + wire \top_inst.data[10] ; + wire \top_inst.data[11] ; + wire \top_inst.data[12] ; + wire \top_inst.data[13] ; + wire \top_inst.data[14] ; + wire \top_inst.data[15] ; + wire \top_inst.data[16] ; + wire \top_inst.data[17] ; + wire \top_inst.data[18] ; + wire \top_inst.data[19] ; + wire \top_inst.data[1] ; + wire \top_inst.data[20] ; + wire \top_inst.data[21] ; + wire \top_inst.data[24] ; + wire \top_inst.data[25] ; + wire \top_inst.data[26] ; + wire \top_inst.data[27] ; + wire \top_inst.data[28] ; + wire \top_inst.data[29] ; + wire \top_inst.data[2] ; + wire \top_inst.data[30] ; + wire \top_inst.data[31] ; + wire \top_inst.data[36] ; + wire \top_inst.data[37] ; + wire \top_inst.data[39] ; + wire \top_inst.data[40] ; + wire \top_inst.data[41] ; + wire \top_inst.data[42] ; + wire \top_inst.data[4] ; + wire \top_inst.data[5] ; + wire \top_inst.data[6] ; + wire \top_inst.data[7] ; + wire \top_inst.spi_cs_n ; + wire \top_inst.spi_inst.buffer[0] ; + wire \top_inst.spi_inst.buffer[10] ; + wire \top_inst.spi_inst.buffer[11] ; + wire \top_inst.spi_inst.buffer[12] ; + wire \top_inst.spi_inst.buffer[13] ; + wire \top_inst.spi_inst.buffer[14] ; + wire \top_inst.spi_inst.buffer[15] ; + wire \top_inst.spi_inst.buffer[16] ; + wire \top_inst.spi_inst.buffer[17] ; + wire \top_inst.spi_inst.buffer[18] ; + wire \top_inst.spi_inst.buffer[19] ; + wire \top_inst.spi_inst.buffer[1] ; + wire \top_inst.spi_inst.buffer[20] ; + wire \top_inst.spi_inst.buffer[21] ; + wire \top_inst.spi_inst.buffer[22] ; + wire \top_inst.spi_inst.buffer[23] ; + wire \top_inst.spi_inst.buffer[24] ; + wire \top_inst.spi_inst.buffer[25] ; + wire \top_inst.spi_inst.buffer[26] ; + wire \top_inst.spi_inst.buffer[27] ; + wire \top_inst.spi_inst.buffer[28] ; + wire \top_inst.spi_inst.buffer[29] ; + wire \top_inst.spi_inst.buffer[2] ; + wire \top_inst.spi_inst.buffer[30] ; + wire \top_inst.spi_inst.buffer[31] ; + wire \top_inst.spi_inst.buffer[32] ; + wire \top_inst.spi_inst.buffer[33] ; + wire \top_inst.spi_inst.buffer[34] ; + wire \top_inst.spi_inst.buffer[35] ; + wire \top_inst.spi_inst.buffer[36] ; + wire \top_inst.spi_inst.buffer[37] ; + wire \top_inst.spi_inst.buffer[38] ; + wire \top_inst.spi_inst.buffer[39] ; + wire \top_inst.spi_inst.buffer[3] ; + wire \top_inst.spi_inst.buffer[40] ; + wire \top_inst.spi_inst.buffer[41] ; + wire \top_inst.spi_inst.buffer[42] ; + wire \top_inst.spi_inst.buffer[43] ; + wire \top_inst.spi_inst.buffer[44] ; + wire \top_inst.spi_inst.buffer[45] ; + wire \top_inst.spi_inst.buffer[46] ; + wire \top_inst.spi_inst.buffer[47] ; + wire \top_inst.spi_inst.buffer[48] ; + wire \top_inst.spi_inst.buffer[49] ; + wire \top_inst.spi_inst.buffer[4] ; + wire \top_inst.spi_inst.buffer[50] ; + wire \top_inst.spi_inst.buffer[51] ; + wire \top_inst.spi_inst.buffer[52] ; + wire \top_inst.spi_inst.buffer[53] ; + wire \top_inst.spi_inst.buffer[54] ; + wire \top_inst.spi_inst.buffer[55] ; + wire \top_inst.spi_inst.buffer[56] ; + wire \top_inst.spi_inst.buffer[57] ; + wire \top_inst.spi_inst.buffer[58] ; + wire \top_inst.spi_inst.buffer[59] ; + wire \top_inst.spi_inst.buffer[5] ; + wire \top_inst.spi_inst.buffer[60] ; + wire \top_inst.spi_inst.buffer[61] ; + wire \top_inst.spi_inst.buffer[62] ; + wire \top_inst.spi_inst.buffer[63] ; + wire \top_inst.spi_inst.buffer[6] ; + wire \top_inst.spi_inst.buffer[7] ; + wire \top_inst.spi_inst.buffer[8] ; + wire \top_inst.spi_inst.buffer[9] ; + wire \top_inst.spi_inst.counter[0] ; + wire \top_inst.spi_inst.counter[1] ; + wire \top_inst.spi_inst.counter[2] ; + wire \top_inst.spi_inst.counter[3] ; + wire \top_inst.spi_inst.counter[4] ; + wire \top_inst.spi_inst.counter[5] ; + wire \top_inst.spi_inst.counter[6] ; + wire \top_inst.spi_inst.state[1] ; + wire clknet_0_clk; + wire net1; + wire net2; + wire net3; + wire net4; + wire net5; + wire net6; + wire net7; + wire net8; + wire net9; + wire net10; + wire net11; + wire net12; + wire net13; + wire net14; + wire net15; + wire net16; + wire net17; + wire net18; + wire net19; + wire net20; + wire net21; + wire net22; + wire net23; + wire net24; + wire net25; + wire net26; + wire net27; + wire net28; + wire net29; + wire net30; + wire net31; + wire net32; + wire net33; + wire net34; + wire net35; + wire net36; + wire net37; + wire net38; + wire net39; + wire net40; + wire net41; + wire net42; + wire net43; + wire net44; + wire net45; + wire net46; + wire net47; + wire net48; + wire net49; + wire net50; + wire net51; + wire net52; + wire net53; + wire net54; + wire net55; + wire net56; + wire net57; + wire net58; + wire net59; + wire net60; + wire net61; + wire net62; + wire net63; + wire net64; + wire net65; + wire net66; + wire net67; + wire net68; + wire net69; + wire net70; + wire net71; + wire net72; + wire net73; + wire net74; + wire net75; + wire net76; + wire net77; + wire net78; + wire net79; + wire net80; + wire net81; + wire net82; + wire net83; + wire net84; + wire net85; + wire net86; + wire net87; + wire net88; + wire clknet_1_0__leaf_clk; + wire clknet_1_1__leaf_clk; + wire net89; + wire net90; + wire net91; + wire net92; + + sky130_fd_sc_hd__inv_2 _353_ (.A(\top_inst.controller_inst.spi_load_next ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_145_)); + sky130_fd_sc_hd__inv_2 _354_ (.A(net41), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_146_)); + sky130_fd_sc_hd__inv_2 _355_ (.A(net24), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(\top_inst.spi_cs_n )); + sky130_fd_sc_hd__and2_1 _356_ (.A(\top_inst.controller_inst.counter[5] ), + .B(\top_inst.controller_inst.counter[4] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_147_)); + sky130_fd_sc_hd__and4_1 _357_ (.A(\top_inst.controller_inst.counter[3] ), + .B(\top_inst.controller_inst.counter[2] ), + .C(\top_inst.controller_inst.counter[1] ), + .D(\top_inst.controller_inst.counter[0] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_148_)); + sky130_fd_sc_hd__and2_1 _358_ (.A(_147_), + .B(_148_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_149_)); + sky130_fd_sc_hd__or4_1 _359_ (.A(\top_inst.controller_inst.counter[17] ), + .B(\top_inst.controller_inst.counter[16] ), + .C(\top_inst.controller_inst.counter[15] ), + .D(\top_inst.controller_inst.counter[14] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_150_)); + sky130_fd_sc_hd__or4_1 _360_ (.A(\top_inst.controller_inst.counter[21] ), + .B(\top_inst.controller_inst.counter[20] ), + .C(\top_inst.controller_inst.counter[19] ), + .D(\top_inst.controller_inst.counter[18] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_151_)); + sky130_fd_sc_hd__or4_1 _361_ (.A(\top_inst.controller_inst.counter[13] ), + .B(\top_inst.controller_inst.counter[12] ), + .C(\top_inst.controller_inst.counter[11] ), + .D(\top_inst.controller_inst.counter[10] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_152_)); + sky130_fd_sc_hd__or4_1 _362_ (.A(\top_inst.controller_inst.counter[9] ), + .B(\top_inst.controller_inst.counter[8] ), + .C(\top_inst.controller_inst.counter[7] ), + .D(\top_inst.controller_inst.counter[6] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_153_)); + sky130_fd_sc_hd__or3_1 _363_ (.A(_150_), + .B(_151_), + .C(_153_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_154_)); + sky130_fd_sc_hd__o311a_2 _364_ (.A1(_149_), + .A2(_152_), + .A3(_154_), + .B1(_145_), + .C1(net30), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_155_)); + sky130_fd_sc_hd__and2_1 _365_ (.A(net39), + .B(net41), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_156_)); + sky130_fd_sc_hd__nand2_1 _366_ (.A(net39), + .B(net41), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_157_)); + sky130_fd_sc_hd__nor3_1 _367_ (.A(\top_inst.address[6] ), + .B(\top_inst.address[5] ), + .C(\top_inst.address[4] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_158_)); + sky130_fd_sc_hd__nor3b_1 _368_ (.A(net38), + .B(net37), + .C_N(_158_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_159_)); + sky130_fd_sc_hd__or3b_1 _369_ (.A(net38), + .B(net37), + .C_N(net23), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_160_)); + sky130_fd_sc_hd__nand3_1 _370_ (.A(_155_), + .B(_156_), + .C(_159_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_161_)); + sky130_fd_sc_hd__and2_1 _371_ (.A(net1), + .B(net64), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_162_)); + sky130_fd_sc_hd__and3_1 _372_ (.A(net1), + .B(net64), + .C(\top_inst.controller_inst.state[2] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_163_)); + sky130_fd_sc_hd__and2b_1 _373_ (.A_N(_161_), + .B(_163_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_164_)); + sky130_fd_sc_hd__nand2_1 _374_ (.A(net37), + .B(net23), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_165_)); + sky130_fd_sc_hd__nor2_2 _375_ (.A(net38), + .B(_165_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_166_)); + sky130_fd_sc_hd__or3b_1 _376_ (.A(\top_inst.address[6] ), + .B(\top_inst.address[5] ), + .C_N(\top_inst.address[4] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_167_)); + sky130_fd_sc_hd__or3_4 _377_ (.A(net38), + .B(net37), + .C(_167_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_168_)); + sky130_fd_sc_hd__inv_2 _378_ (.A(_168_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_169_)); + sky130_fd_sc_hd__o211a_1 _379_ (.A1(_166_), + .A2(_169_), + .B1(_155_), + .C1(_156_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_170_)); + sky130_fd_sc_hd__and3b_1 _380_ (.A_N(_170_), + .B(net63), + .C(\top_inst.controller_inst.state[1] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_171_)); + sky130_fd_sc_hd__nand2_1 _381_ (.A(\top_inst.controller_inst.counter[6] ), + .B(_149_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_172_)); + sky130_fd_sc_hd__and2_1 _382_ (.A(\top_inst.controller_inst.counter[7] ), + .B(\top_inst.controller_inst.counter[6] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_173_)); + sky130_fd_sc_hd__and3_1 _383_ (.A(_147_), + .B(_148_), + .C(_173_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_174_)); + sky130_fd_sc_hd__and4_1 _384_ (.A(\top_inst.controller_inst.counter[11] ), + .B(\top_inst.controller_inst.counter[10] ), + .C(\top_inst.controller_inst.counter[9] ), + .D(\top_inst.controller_inst.counter[8] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_175_)); + sky130_fd_sc_hd__and4_1 _385_ (.A(_147_), + .B(_148_), + .C(_173_), + .D(_175_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_176_)); + sky130_fd_sc_hd__and3_1 _386_ (.A(\top_inst.controller_inst.counter[14] ), + .B(\top_inst.controller_inst.counter[13] ), + .C(\top_inst.controller_inst.counter[12] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_177_)); + sky130_fd_sc_hd__and4_1 _387_ (.A(\top_inst.controller_inst.counter[18] ), + .B(\top_inst.controller_inst.counter[17] ), + .C(\top_inst.controller_inst.counter[16] ), + .D(\top_inst.controller_inst.counter[15] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_178_)); + sky130_fd_sc_hd__and4_1 _388_ (.A(\top_inst.controller_inst.counter[21] ), + .B(\top_inst.controller_inst.counter[20] ), + .C(\top_inst.controller_inst.counter[19] ), + .D(_178_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_179_)); + sky130_fd_sc_hd__nand3_1 _389_ (.A(_176_), + .B(_177_), + .C(_179_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_180_)); + sky130_fd_sc_hd__inv_2 _390_ (.A(_180_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_181_)); + sky130_fd_sc_hd__and3_1 _391_ (.A(\top_inst.controller_inst.state[3] ), + .B(net63), + .C(_181_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_182_)); + sky130_fd_sc_hd__or3_1 _392_ (.A(_164_), + .B(_171_), + .C(_182_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_001_)); + sky130_fd_sc_hd__and3_1 _393_ (.A(\top_inst.spi_inst.counter[0] ), + .B(\top_inst.spi_inst.counter[1] ), + .C(\top_inst.spi_inst.counter[2] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_183_)); + sky130_fd_sc_hd__and2_1 _394_ (.A(\top_inst.spi_inst.counter[3] ), + .B(_183_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_184_)); + sky130_fd_sc_hd__and3_1 _395_ (.A(\top_inst.spi_inst.counter[3] ), + .B(\top_inst.spi_inst.counter[4] ), + .C(_183_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_185_)); + sky130_fd_sc_hd__nand2_1 _396_ (.A(\top_inst.spi_inst.counter[5] ), + .B(_185_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_186_)); + sky130_fd_sc_hd__or2_1 _397_ (.A(\top_inst.spi_inst.counter[6] ), + .B(_186_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_187_)); + sky130_fd_sc_hd__and2b_1 _398_ (.A_N(\top_inst.controller_inst.spi_load ), + .B(net31), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_188_)); + sky130_fd_sc_hd__nand2b_2 _399_ (.A_N(\top_inst.controller_inst.spi_load ), + .B(net31), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_189_)); + sky130_fd_sc_hd__and2_1 _400_ (.A(net64), + .B(net19), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_190_)); + sky130_fd_sc_hd__o21ai_1 _401_ (.A1(\top_inst.spi_cs_n ), + .A2(_187_), + .B1(net14), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_004_)); + sky130_fd_sc_hd__and2_1 _402_ (.A(net64), + .B(net24), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_191_)); + sky130_fd_sc_hd__nand2_1 _403_ (.A(net64), + .B(net24), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_192_)); + sky130_fd_sc_hd__a32o_1 _404_ (.A1(net30), + .A2(net64), + .A3(\top_inst.controller_inst.spi_load ), + .B1(_187_), + .B2(_191_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_005_)); + sky130_fd_sc_hd__and2b_1 _405_ (.A_N(net30), + .B(\top_inst.controller_inst.state[0] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_193_)); + sky130_fd_sc_hd__nand2b_1 _406_ (.A_N(net30), + .B(\top_inst.controller_inst.state[0] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_194_)); + sky130_fd_sc_hd__nand2_1 _407_ (.A(net63), + .B(net17), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_000_)); + sky130_fd_sc_hd__and3_1 _408_ (.A(\top_inst.controller_inst.state[3] ), + .B(net63), + .C(_180_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_195_)); + sky130_fd_sc_hd__a31o_1 _409_ (.A1(\top_inst.controller_inst.state[1] ), + .A2(net63), + .A3(_170_), + .B1(_195_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_003_)); + sky130_fd_sc_hd__a32o_1 _410_ (.A1(net30), + .A2(\top_inst.controller_inst.state[0] ), + .A3(net63), + .B1(_163_), + .B2(_161_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_002_)); + sky130_fd_sc_hd__nor2_1 _411_ (.A(\top_inst.controller_inst.state[2] ), + .B(\top_inst.controller_inst.state[1] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_196_)); + sky130_fd_sc_hd__or2_1 _412_ (.A(\top_inst.controller_inst.state[2] ), + .B(\top_inst.controller_inst.state[1] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_197_)); + sky130_fd_sc_hd__o211a_1 _413_ (.A1(\top_inst.controller_inst.state[0] ), + .A2(_197_), + .B1(net17), + .C1(_162_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_198_)); + sky130_fd_sc_hd__o21a_2 _414_ (.A1(_155_), + .A2(_196_), + .B1(_198_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_199_)); + sky130_fd_sc_hd__o21ai_1 _415_ (.A1(_155_), + .A2(_196_), + .B1(_198_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_200_)); + sky130_fd_sc_hd__nand2_1 _416_ (.A(_197_), + .B(_199_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_201_)); + sky130_fd_sc_hd__inv_2 _417_ (.A(_201_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_006_)); + sky130_fd_sc_hd__or4_2 _418_ (.A(net40), + .B(net42), + .C(net38), + .D(_165_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_202_)); + sky130_fd_sc_hd__inv_2 _419_ (.A(_202_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_008_)); + sky130_fd_sc_hd__and3_1 _420_ (.A(net39), + .B(net41), + .C(net38), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_203_)); + sky130_fd_sc_hd__nand3_1 _421_ (.A(net37), + .B(net23), + .C(_203_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_204_)); + sky130_fd_sc_hd__nor2_1 _422_ (.A(net39), + .B(_168_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_205_)); + sky130_fd_sc_hd__nor2_1 _423_ (.A(net42), + .B(_168_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_206_)); + sky130_fd_sc_hd__o31ai_2 _424_ (.A1(net39), + .A2(net41), + .A3(_168_), + .B1(_204_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_207_)); + sky130_fd_sc_hd__nand2b_1 _425_ (.A_N(_207_), + .B(_202_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_007_)); + sky130_fd_sc_hd__and2b_1 _426_ (.A_N(net39), + .B(net41), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_208_)); + sky130_fd_sc_hd__and2b_1 _427_ (.A_N(net41), + .B(net39), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_209_)); + sky130_fd_sc_hd__nand2_1 _428_ (.A(net40), + .B(_146_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_210_)); + sky130_fd_sc_hd__nor2_1 _429_ (.A(_208_), + .B(_209_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_211_)); + sky130_fd_sc_hd__nand2_1 _430_ (.A(net38), + .B(net23), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_212_)); + sky130_fd_sc_hd__or3b_2 _431_ (.A(_211_), + .B(_165_), + .C_N(net38), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_213_)); + sky130_fd_sc_hd__or2_1 _432_ (.A(_168_), + .B(_211_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_214_)); + sky130_fd_sc_hd__nand2_1 _433_ (.A(_213_), + .B(_214_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_215_)); + sky130_fd_sc_hd__or2_1 _434_ (.A(_166_), + .B(_215_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_009_)); + sky130_fd_sc_hd__and2b_1 _435_ (.A_N(_207_), + .B(_214_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_216_)); + sky130_fd_sc_hd__a21bo_1 _436_ (.A1(_157_), + .A2(_166_), + .B1_N(_216_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_010_)); + sky130_fd_sc_hd__and2b_1 _437_ (.A_N(net92), + .B(net65), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_011_)); + sky130_fd_sc_hd__o21ai_1 _438_ (.A1(\top_inst.counter[0] ), + .A2(net89), + .B1(net65), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_217_)); + sky130_fd_sc_hd__a21oi_1 _439_ (.A1(\top_inst.counter[0] ), + .A2(net89), + .B1(_217_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_012_)); + sky130_fd_sc_hd__a21o_1 _440_ (.A1(\top_inst.counter[0] ), + .A2(\top_inst.counter[1] ), + .B1(\top_inst.counter[2] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_218_)); + sky130_fd_sc_hd__and3_1 _441_ (.A(\top_inst.counter[0] ), + .B(\top_inst.counter[1] ), + .C(\top_inst.counter[2] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_219_)); + sky130_fd_sc_hd__and3b_1 _442_ (.A_N(_219_), + .B(net65), + .C(_218_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_013_)); + sky130_fd_sc_hd__and2_1 _443_ (.A(\top_inst.counter[3] ), + .B(_219_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_220_)); + sky130_fd_sc_hd__o21ai_1 _444_ (.A1(net91), + .A2(_219_), + .B1(net65), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_221_)); + sky130_fd_sc_hd__nor2_1 _445_ (.A(_220_), + .B(_221_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_014_)); + sky130_fd_sc_hd__o21ai_1 _446_ (.A1(net60), + .A2(_220_), + .B1(net65), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_222_)); + sky130_fd_sc_hd__a21oi_1 _447_ (.A1(net60), + .A2(_220_), + .B1(_222_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_015_)); + sky130_fd_sc_hd__o21bai_2 _448_ (.A1(_155_), + .A2(_196_), + .B1_N(\top_inst.controller_inst.state[3] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_223_)); + sky130_fd_sc_hd__a21o_1 _449_ (.A1(net17), + .A2(_223_), + .B1(\top_inst.controller_inst.counter[0] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_224_)); + sky130_fd_sc_hd__and2_1 _450_ (.A(\top_inst.controller_inst.counter[0] ), + .B(net16), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_225_)); + sky130_fd_sc_hd__and3b_1 _451_ (.A_N(_225_), + .B(net63), + .C(_224_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_016_)); + sky130_fd_sc_hd__o21a_1 _452_ (.A1(_193_), + .A2(_223_), + .B1(net63), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_226_)); + sky130_fd_sc_hd__or2_1 _453_ (.A(\top_inst.controller_inst.counter[1] ), + .B(_225_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_227_)); + sky130_fd_sc_hd__and3_1 _454_ (.A(\top_inst.controller_inst.counter[1] ), + .B(\top_inst.controller_inst.counter[0] ), + .C(net16), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_228_)); + sky130_fd_sc_hd__and3b_1 _455_ (.A_N(_228_), + .B(net3), + .C(_227_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_017_)); + sky130_fd_sc_hd__nand2_1 _456_ (.A(\top_inst.controller_inst.counter[2] ), + .B(_228_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_229_)); + sky130_fd_sc_hd__or2_1 _457_ (.A(\top_inst.controller_inst.counter[2] ), + .B(_228_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_230_)); + sky130_fd_sc_hd__and3_1 _458_ (.A(net3), + .B(_229_), + .C(_230_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_018_)); + sky130_fd_sc_hd__and2_1 _459_ (.A(_148_), + .B(net16), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_231_)); + sky130_fd_sc_hd__a21o_1 _460_ (.A1(\top_inst.controller_inst.counter[2] ), + .A2(_228_), + .B1(\top_inst.controller_inst.counter[3] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_232_)); + sky130_fd_sc_hd__and3b_1 _461_ (.A_N(_231_), + .B(_232_), + .C(net3), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_019_)); + sky130_fd_sc_hd__nand2_1 _462_ (.A(\top_inst.controller_inst.counter[4] ), + .B(_231_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_233_)); + sky130_fd_sc_hd__or2_1 _463_ (.A(\top_inst.controller_inst.counter[4] ), + .B(_231_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_234_)); + sky130_fd_sc_hd__and3_1 _464_ (.A(net3), + .B(_233_), + .C(_234_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_020_)); + sky130_fd_sc_hd__nand2_1 _465_ (.A(_149_), + .B(net16), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_235_)); + sky130_fd_sc_hd__a31o_1 _466_ (.A1(\top_inst.controller_inst.counter[4] ), + .A2(_148_), + .A3(net16), + .B1(\top_inst.controller_inst.counter[5] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_236_)); + sky130_fd_sc_hd__and3_1 _467_ (.A(net3), + .B(_235_), + .C(_236_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_021_)); + sky130_fd_sc_hd__a21o_1 _468_ (.A1(_172_), + .A2(_223_), + .B1(\top_inst.controller_inst.state[0] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_237_)); + sky130_fd_sc_hd__mux2_1 _469_ (.A0(_149_), + .A1(net30), + .S(\top_inst.controller_inst.state[0] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_238_)); + sky130_fd_sc_hd__o211a_1 _470_ (.A1(\top_inst.controller_inst.counter[6] ), + .A2(_238_), + .B1(_237_), + .C1(net63), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_022_)); + sky130_fd_sc_hd__a31o_1 _471_ (.A1(\top_inst.controller_inst.counter[6] ), + .A2(_149_), + .A3(net16), + .B1(\top_inst.controller_inst.counter[7] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_239_)); + sky130_fd_sc_hd__and2_1 _472_ (.A(_174_), + .B(net16), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_240_)); + sky130_fd_sc_hd__and3b_1 _473_ (.A_N(_240_), + .B(net3), + .C(_239_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_023_)); + sky130_fd_sc_hd__and3_1 _474_ (.A(\top_inst.controller_inst.counter[8] ), + .B(_174_), + .C(net16), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_241_)); + sky130_fd_sc_hd__or2_1 _475_ (.A(\top_inst.controller_inst.counter[8] ), + .B(_240_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_242_)); + sky130_fd_sc_hd__and3b_1 _476_ (.A_N(_241_), + .B(_242_), + .C(net3), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_024_)); + sky130_fd_sc_hd__nand2_1 _477_ (.A(\top_inst.controller_inst.counter[9] ), + .B(_241_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_243_)); + sky130_fd_sc_hd__or2_1 _478_ (.A(\top_inst.controller_inst.counter[9] ), + .B(_241_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_244_)); + sky130_fd_sc_hd__and3_1 _479_ (.A(net3), + .B(_243_), + .C(_244_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_025_)); + sky130_fd_sc_hd__a21o_1 _480_ (.A1(\top_inst.controller_inst.counter[9] ), + .A2(_241_), + .B1(\top_inst.controller_inst.counter[10] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_245_)); + sky130_fd_sc_hd__nand3_1 _481_ (.A(\top_inst.controller_inst.counter[10] ), + .B(\top_inst.controller_inst.counter[9] ), + .C(_241_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_246_)); + sky130_fd_sc_hd__and3_1 _482_ (.A(net3), + .B(_245_), + .C(_246_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_026_)); + sky130_fd_sc_hd__and2_1 _483_ (.A(\top_inst.controller_inst.counter[11] ), + .B(_193_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_247_)); + sky130_fd_sc_hd__a41o_1 _484_ (.A1(\top_inst.controller_inst.counter[10] ), + .A2(\top_inst.controller_inst.counter[9] ), + .A3(\top_inst.controller_inst.counter[8] ), + .A4(_174_), + .B1(\top_inst.controller_inst.counter[11] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_248_)); + sky130_fd_sc_hd__and4b_1 _485_ (.A_N(_176_), + .B(net17), + .C(_223_), + .D(_248_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_249_)); + sky130_fd_sc_hd__o21a_1 _486_ (.A1(_247_), + .A2(_249_), + .B1(net63), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_027_)); + sky130_fd_sc_hd__a21o_1 _487_ (.A1(_176_), + .A2(net16), + .B1(\top_inst.controller_inst.counter[12] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_250_)); + sky130_fd_sc_hd__and3_1 _488_ (.A(\top_inst.controller_inst.counter[12] ), + .B(_176_), + .C(net16), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_251_)); + sky130_fd_sc_hd__and3b_1 _489_ (.A_N(_251_), + .B(net4), + .C(_250_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_028_)); + sky130_fd_sc_hd__or2_1 _490_ (.A(\top_inst.controller_inst.counter[13] ), + .B(_251_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_252_)); + sky130_fd_sc_hd__nand2_1 _491_ (.A(\top_inst.controller_inst.counter[13] ), + .B(_251_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_253_)); + sky130_fd_sc_hd__and3_1 _492_ (.A(net4), + .B(_252_), + .C(_253_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_029_)); + sky130_fd_sc_hd__a21o_1 _493_ (.A1(\top_inst.controller_inst.counter[13] ), + .A2(_251_), + .B1(\top_inst.controller_inst.counter[14] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_254_)); + sky130_fd_sc_hd__and4_1 _494_ (.A(_174_), + .B(_175_), + .C(_177_), + .D(net17), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_255_)); + sky130_fd_sc_hd__and3b_1 _495_ (.A_N(_255_), + .B(net4), + .C(_254_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_030_)); + sky130_fd_sc_hd__or2_1 _496_ (.A(\top_inst.controller_inst.counter[15] ), + .B(_255_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_256_)); + sky130_fd_sc_hd__and2_1 _497_ (.A(\top_inst.controller_inst.counter[15] ), + .B(_255_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_257_)); + sky130_fd_sc_hd__and3b_1 _498_ (.A_N(_257_), + .B(net4), + .C(_256_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_031_)); + sky130_fd_sc_hd__or2_1 _499_ (.A(\top_inst.controller_inst.counter[16] ), + .B(_257_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_258_)); + sky130_fd_sc_hd__nand2_1 _500_ (.A(\top_inst.controller_inst.counter[16] ), + .B(_257_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_259_)); + sky130_fd_sc_hd__and3_1 _501_ (.A(net4), + .B(_258_), + .C(_259_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_032_)); + sky130_fd_sc_hd__a31o_1 _502_ (.A1(\top_inst.controller_inst.counter[16] ), + .A2(\top_inst.controller_inst.counter[15] ), + .A3(_255_), + .B1(\top_inst.controller_inst.counter[17] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_260_)); + sky130_fd_sc_hd__nand3_1 _503_ (.A(\top_inst.controller_inst.counter[17] ), + .B(\top_inst.controller_inst.counter[16] ), + .C(_257_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_261_)); + sky130_fd_sc_hd__and3_1 _504_ (.A(net3), + .B(_260_), + .C(_261_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_033_)); + sky130_fd_sc_hd__a31o_1 _505_ (.A1(\top_inst.controller_inst.counter[17] ), + .A2(\top_inst.controller_inst.counter[16] ), + .A3(_257_), + .B1(\top_inst.controller_inst.counter[18] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_262_)); + sky130_fd_sc_hd__and2_1 _506_ (.A(_178_), + .B(_255_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_263_)); + sky130_fd_sc_hd__and3b_1 _507_ (.A_N(_263_), + .B(net4), + .C(_262_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_034_)); + sky130_fd_sc_hd__or2_1 _508_ (.A(\top_inst.controller_inst.counter[19] ), + .B(_263_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_264_)); + sky130_fd_sc_hd__nand2_1 _509_ (.A(\top_inst.controller_inst.counter[19] ), + .B(_263_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_265_)); + sky130_fd_sc_hd__and3_1 _510_ (.A(net4), + .B(_264_), + .C(_265_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_035_)); + sky130_fd_sc_hd__a31o_1 _511_ (.A1(\top_inst.controller_inst.counter[19] ), + .A2(_178_), + .A3(_255_), + .B1(\top_inst.controller_inst.counter[20] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_266_)); + sky130_fd_sc_hd__nand3_1 _512_ (.A(\top_inst.controller_inst.counter[20] ), + .B(\top_inst.controller_inst.counter[19] ), + .C(_263_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_267_)); + sky130_fd_sc_hd__and3_1 _513_ (.A(net4), + .B(_266_), + .C(_267_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_036_)); + sky130_fd_sc_hd__a31o_1 _514_ (.A1(\top_inst.controller_inst.counter[20] ), + .A2(\top_inst.controller_inst.counter[19] ), + .A3(_263_), + .B1(\top_inst.controller_inst.counter[21] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_268_)); + sky130_fd_sc_hd__o211a_1 _515_ (.A1(_180_), + .A2(_193_), + .B1(net4), + .C1(_268_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_037_)); + sky130_fd_sc_hd__a311o_1 _516_ (.A1(\top_inst.controller_inst.state[1] ), + .A2(_156_), + .A3(_169_), + .B1(_196_), + .C1(_200_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_269_)); + sky130_fd_sc_hd__mux2_1 _517_ (.A0(_199_), + .A1(_269_), + .S(net41), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_038_)); + sky130_fd_sc_hd__o32a_1 _518_ (.A1(_208_), + .A2(_209_), + .A3(_269_), + .B1(_199_), + .B2(net39), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_039_)); + sky130_fd_sc_hd__nor3_1 _519_ (.A(\top_inst.controller_inst.state[2] ), + .B(_157_), + .C(_168_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_270_)); + sky130_fd_sc_hd__nor2_1 _520_ (.A(_203_), + .B(_270_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_271_)); + sky130_fd_sc_hd__nor2_1 _521_ (.A(_197_), + .B(_200_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_272_)); + sky130_fd_sc_hd__a21oi_1 _522_ (.A1(_157_), + .A2(_197_), + .B1(_200_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_273_)); + sky130_fd_sc_hd__o22a_1 _523_ (.A1(_201_), + .A2(_271_), + .B1(_273_), + .B2(net38), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_040_)); + sky130_fd_sc_hd__and3_1 _524_ (.A(net37), + .B(_199_), + .C(_203_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_274_)); + sky130_fd_sc_hd__a21oi_1 _525_ (.A1(_199_), + .A2(_203_), + .B1(net37), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_275_)); + sky130_fd_sc_hd__o21bai_1 _526_ (.A1(_274_), + .A2(_275_), + .B1_N(_272_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_041_)); + sky130_fd_sc_hd__and3_1 _527_ (.A(net37), + .B(\top_inst.address[4] ), + .C(_203_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_276_)); + sky130_fd_sc_hd__nor2_1 _528_ (.A(_270_), + .B(_276_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_277_)); + sky130_fd_sc_hd__o32a_1 _529_ (.A1(\top_inst.address[4] ), + .A2(_272_), + .A3(_274_), + .B1(_277_), + .B2(_201_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_042_)); + sky130_fd_sc_hd__nand3_1 _530_ (.A(\top_inst.address[5] ), + .B(_199_), + .C(_276_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_278_)); + sky130_fd_sc_hd__a21o_1 _531_ (.A1(_199_), + .A2(_276_), + .B1(\top_inst.address[5] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_279_)); + sky130_fd_sc_hd__a21o_1 _532_ (.A1(_278_), + .A2(_279_), + .B1(_272_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_043_)); + sky130_fd_sc_hd__nand4_1 _533_ (.A(\top_inst.address[6] ), + .B(\top_inst.address[5] ), + .C(_199_), + .D(_276_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_280_)); + sky130_fd_sc_hd__a31o_1 _534_ (.A1(\top_inst.address[5] ), + .A2(_199_), + .A3(_276_), + .B1(\top_inst.address[6] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_281_)); + sky130_fd_sc_hd__a21o_1 _535_ (.A1(_280_), + .A2(_281_), + .B1(_272_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_044_)); + sky130_fd_sc_hd__nor2_2 _536_ (.A(net37), + .B(_212_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_282_)); + sky130_fd_sc_hd__o211a_1 _537_ (.A1(\top_inst.address[2] ), + .A2(net37), + .B1(net23), + .C1(_146_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_283_)); + sky130_fd_sc_hd__a211o_1 _538_ (.A1(net40), + .A2(net15), + .B1(_206_), + .C1(_283_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_045_)); + sky130_fd_sc_hd__a41o_1 _539_ (.A1(net39), + .A2(net38), + .A3(\top_inst.address[3] ), + .A4(net23), + .B1(_205_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_050_)); + sky130_fd_sc_hd__nor2_1 _540_ (.A(_156_), + .B(_160_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_284_)); + sky130_fd_sc_hd__or2_1 _541_ (.A(_050_), + .B(_284_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_046_)); + sky130_fd_sc_hd__nor2_1 _542_ (.A(net41), + .B(_160_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_285_)); + sky130_fd_sc_hd__or2_1 _543_ (.A(_207_), + .B(_285_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_070_)); + sky130_fd_sc_hd__or2_1 _544_ (.A(_007_), + .B(_285_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_071_)); + sky130_fd_sc_hd__o21a_1 _545_ (.A1(net42), + .A2(_160_), + .B1(_213_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_286_)); + sky130_fd_sc_hd__nand2_1 _546_ (.A(_202_), + .B(_286_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_073_)); + sky130_fd_sc_hd__or3_1 _547_ (.A(_007_), + .B(_215_), + .C(_285_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_072_)); + sky130_fd_sc_hd__and2_1 _548_ (.A(net15), + .B(_208_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_287_)); + sky130_fd_sc_hd__or2_1 _549_ (.A(_072_), + .B(_287_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_047_)); + sky130_fd_sc_hd__and2_1 _550_ (.A(_213_), + .B(_216_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_288_)); + sky130_fd_sc_hd__or4_1 _551_ (.A(net39), + .B(net41), + .C(\top_inst.address[3] ), + .D(_212_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_289_)); + sky130_fd_sc_hd__nand3_1 _552_ (.A(_213_), + .B(_216_), + .C(_289_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_290_)); + sky130_fd_sc_hd__or2_1 _553_ (.A(_284_), + .B(_290_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_048_)); + sky130_fd_sc_hd__mux2_1 _554_ (.A0(_166_), + .A1(_282_), + .S(net40), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_291_)); + sky130_fd_sc_hd__or3b_1 _555_ (.A(_284_), + .B(_291_), + .C_N(_216_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_049_)); + sky130_fd_sc_hd__nor2_1 _556_ (.A(\top_inst.spi_inst.counter[0] ), + .B(_192_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_051_)); + sky130_fd_sc_hd__a21oi_1 _557_ (.A1(\top_inst.spi_inst.counter[0] ), + .A2(\top_inst.spi_inst.counter[1] ), + .B1(_192_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_292_)); + sky130_fd_sc_hd__o21a_1 _558_ (.A1(\top_inst.spi_inst.counter[0] ), + .A2(\top_inst.spi_inst.counter[1] ), + .B1(_292_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_052_)); + sky130_fd_sc_hd__a21o_1 _559_ (.A1(\top_inst.spi_inst.counter[0] ), + .A2(\top_inst.spi_inst.counter[1] ), + .B1(\top_inst.spi_inst.counter[2] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_293_)); + sky130_fd_sc_hd__and3b_1 _560_ (.A_N(_183_), + .B(_191_), + .C(_293_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_053_)); + sky130_fd_sc_hd__o21ai_1 _561_ (.A1(\top_inst.spi_inst.counter[3] ), + .A2(_183_), + .B1(_191_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_294_)); + sky130_fd_sc_hd__nor2_1 _562_ (.A(_184_), + .B(_294_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_054_)); + sky130_fd_sc_hd__o21ai_1 _563_ (.A1(\top_inst.spi_inst.counter[4] ), + .A2(_184_), + .B1(_191_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_295_)); + sky130_fd_sc_hd__nor2_1 _564_ (.A(_185_), + .B(_295_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_055_)); + sky130_fd_sc_hd__or2_1 _565_ (.A(\top_inst.spi_inst.counter[5] ), + .B(_185_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_296_)); + sky130_fd_sc_hd__and3_1 _566_ (.A(_186_), + .B(_191_), + .C(_296_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_056_)); + sky130_fd_sc_hd__nand2_1 _567_ (.A(\top_inst.spi_inst.counter[6] ), + .B(_186_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_297_)); + sky130_fd_sc_hd__a21oi_1 _568_ (.A1(_187_), + .A2(_297_), + .B1(_192_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_057_)); + sky130_fd_sc_hd__a41o_1 _569_ (.A1(net42), + .A2(\top_inst.address[2] ), + .A3(\top_inst.address[3] ), + .A4(net23), + .B1(_206_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_298_)); + sky130_fd_sc_hd__nor2_1 _570_ (.A(_166_), + .B(_282_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_299_)); + sky130_fd_sc_hd__or3_1 _571_ (.A(_166_), + .B(_282_), + .C(_298_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_058_)); + sky130_fd_sc_hd__a22o_1 _572_ (.A1(_157_), + .A2(_166_), + .B1(_282_), + .B2(net40), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_066_)); + sky130_fd_sc_hd__a21oi_2 _573_ (.A1(_208_), + .A2(_282_), + .B1(_066_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_300_)); + sky130_fd_sc_hd__inv_2 _574_ (.A(_300_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_061_)); + sky130_fd_sc_hd__o311a_1 _575_ (.A1(net40), + .A2(net42), + .A3(_212_), + .B1(_288_), + .C1(_300_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_059_)); + sky130_fd_sc_hd__nand2b_1 _576_ (.A_N(_298_), + .B(_300_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_060_)); + sky130_fd_sc_hd__a211o_1 _577_ (.A1(_166_), + .A2(_209_), + .B1(_291_), + .C1(_207_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_062_)); + sky130_fd_sc_hd__o21ai_1 _578_ (.A1(_210_), + .A2(_299_), + .B1(_214_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_063_)); + sky130_fd_sc_hd__o211ai_1 _579_ (.A1(_210_), + .A2(_299_), + .B1(_216_), + .C1(_213_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_064_)); + sky130_fd_sc_hd__or2_1 _580_ (.A(_008_), + .B(_064_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_065_)); + sky130_fd_sc_hd__or2_1 _581_ (.A(_291_), + .B(_298_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_067_)); + sky130_fd_sc_hd__a211o_1 _582_ (.A1(net31), + .A2(\top_inst.data[0] ), + .B1(net22), + .C1(net24), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_301_)); + sky130_fd_sc_hd__a21o_1 _583_ (.A1(\top_inst.spi_cs_n ), + .A2(net19), + .B1(\top_inst.spi_inst.buffer[0] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_302_)); + sky130_fd_sc_hd__and3_1 _584_ (.A(net64), + .B(_301_), + .C(_302_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_068_)); + sky130_fd_sc_hd__and2_1 _585_ (.A(_156_), + .B(_282_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_303_)); + sky130_fd_sc_hd__or2_1 _586_ (.A(_009_), + .B(_303_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_069_)); + sky130_fd_sc_hd__nand2_1 _587_ (.A(_216_), + .B(_286_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_074_)); + sky130_fd_sc_hd__a21o_1 _588_ (.A1(_208_), + .A2(_282_), + .B1(_285_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_304_)); + sky130_fd_sc_hd__or2_1 _589_ (.A(_290_), + .B(_304_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_075_)); + sky130_fd_sc_hd__a211o_1 _590_ (.A1(_146_), + .A2(_282_), + .B1(_298_), + .C1(_304_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_076_)); + sky130_fd_sc_hd__or3_1 _591_ (.A(_285_), + .B(_298_), + .C(_303_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_077_)); + sky130_fd_sc_hd__or3_1 _592_ (.A(_010_), + .B(_287_), + .C(_303_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_078_)); + sky130_fd_sc_hd__or3_1 _593_ (.A(_215_), + .B(_285_), + .C(_291_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_079_)); + sky130_fd_sc_hd__or3b_1 _594_ (.A(_010_), + .B(_303_), + .C_N(_286_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_080_)); + sky130_fd_sc_hd__o211ai_1 _595_ (.A1(_211_), + .A2(_299_), + .B1(_286_), + .C1(_214_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_081_)); + sky130_fd_sc_hd__and2_1 _596_ (.A(net64), + .B(net22), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_305_)); + sky130_fd_sc_hd__a22o_1 _597_ (.A1(\top_inst.spi_inst.buffer[0] ), + .A2(net24), + .B1(\top_inst.data[1] ), + .B2(net31), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_306_)); + sky130_fd_sc_hd__a22o_1 _598_ (.A1(\top_inst.spi_inst.buffer[1] ), + .A2(net7), + .B1(_306_), + .B2(net14), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_082_)); + sky130_fd_sc_hd__a221o_1 _599_ (.A1(\top_inst.spi_inst.buffer[1] ), + .A2(net24), + .B1(\top_inst.data[2] ), + .B2(net31), + .C1(net22), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_307_)); + sky130_fd_sc_hd__o211a_1 _600_ (.A1(\top_inst.spi_inst.buffer[2] ), + .A2(net19), + .B1(_307_), + .C1(net64), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_083_)); + sky130_fd_sc_hd__a221o_1 _601_ (.A1(\top_inst.spi_inst.buffer[2] ), + .A2(net24), + .B1(\top_inst.data[2] ), + .B2(net31), + .C1(net22), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_308_)); + sky130_fd_sc_hd__o211a_1 _602_ (.A1(\top_inst.spi_inst.buffer[3] ), + .A2(net19), + .B1(_308_), + .C1(net64), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_084_)); + sky130_fd_sc_hd__a22o_1 _603_ (.A1(\top_inst.spi_inst.buffer[3] ), + .A2(net25), + .B1(\top_inst.data[4] ), + .B2(net31), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_309_)); + sky130_fd_sc_hd__a22o_1 _604_ (.A1(\top_inst.spi_inst.buffer[4] ), + .A2(net8), + .B1(_309_), + .B2(net14), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_085_)); + sky130_fd_sc_hd__a22o_1 _605_ (.A1(\top_inst.spi_inst.buffer[4] ), + .A2(net25), + .B1(\top_inst.data[5] ), + .B2(net30), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_310_)); + sky130_fd_sc_hd__a22o_1 _606_ (.A1(\top_inst.spi_inst.buffer[5] ), + .A2(net8), + .B1(_310_), + .B2(net14), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_086_)); + sky130_fd_sc_hd__a22o_1 _607_ (.A1(\top_inst.spi_inst.buffer[5] ), + .A2(net24), + .B1(\top_inst.data[6] ), + .B2(net34), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_311_)); + sky130_fd_sc_hd__a22o_1 _608_ (.A1(\top_inst.spi_inst.buffer[6] ), + .A2(net8), + .B1(_311_), + .B2(net14), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_087_)); + sky130_fd_sc_hd__a22o_1 _609_ (.A1(\top_inst.spi_inst.buffer[6] ), + .A2(net28), + .B1(\top_inst.data[7] ), + .B2(net35), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_312_)); + sky130_fd_sc_hd__a22o_1 _610_ (.A1(\top_inst.spi_inst.buffer[7] ), + .A2(net10), + .B1(_312_), + .B2(net13), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_088_)); + sky130_fd_sc_hd__a221o_1 _611_ (.A1(\top_inst.spi_inst.buffer[7] ), + .A2(net28), + .B1(\top_inst.data[20] ), + .B2(net35), + .C1(net20), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_313_)); + sky130_fd_sc_hd__o211a_1 _612_ (.A1(\top_inst.spi_inst.buffer[8] ), + .A2(net18), + .B1(_313_), + .C1(net65), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_089_)); + sky130_fd_sc_hd__a221o_1 _613_ (.A1(\top_inst.spi_inst.buffer[8] ), + .A2(net28), + .B1(\top_inst.data[21] ), + .B2(net35), + .C1(net21), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_314_)); + sky130_fd_sc_hd__o211a_1 _614_ (.A1(\top_inst.spi_inst.buffer[9] ), + .A2(net18), + .B1(_314_), + .C1(net66), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_090_)); + sky130_fd_sc_hd__a221o_1 _615_ (.A1(\top_inst.spi_inst.buffer[9] ), + .A2(net28), + .B1(\top_inst.data[10] ), + .B2(net34), + .C1(net20), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_315_)); + sky130_fd_sc_hd__o211a_1 _616_ (.A1(\top_inst.spi_inst.buffer[10] ), + .A2(net18), + .B1(_315_), + .C1(net66), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_091_)); + sky130_fd_sc_hd__a221o_1 _617_ (.A1(\top_inst.spi_inst.buffer[10] ), + .A2(net28), + .B1(\top_inst.data[11] ), + .B2(net36), + .C1(net20), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_316_)); + sky130_fd_sc_hd__o211a_1 _618_ (.A1(\top_inst.spi_inst.buffer[11] ), + .A2(_189_), + .B1(_316_), + .C1(net65), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_092_)); + sky130_fd_sc_hd__nor2_1 _619_ (.A(net22), + .B(_192_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_317_)); + sky130_fd_sc_hd__a22o_1 _620_ (.A1(\top_inst.spi_inst.buffer[12] ), + .A2(net11), + .B1(net6), + .B2(\top_inst.spi_inst.buffer[11] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_093_)); + sky130_fd_sc_hd__a22o_1 _621_ (.A1(\top_inst.spi_inst.buffer[13] ), + .A2(net10), + .B1(net6), + .B2(\top_inst.spi_inst.buffer[12] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_094_)); + sky130_fd_sc_hd__a22o_1 _622_ (.A1(\top_inst.spi_inst.buffer[14] ), + .A2(net10), + .B1(net6), + .B2(\top_inst.spi_inst.buffer[13] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_095_)); + sky130_fd_sc_hd__a22o_1 _623_ (.A1(\top_inst.spi_inst.buffer[15] ), + .A2(net10), + .B1(net6), + .B2(\top_inst.spi_inst.buffer[14] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_096_)); + sky130_fd_sc_hd__a22o_1 _624_ (.A1(\top_inst.spi_inst.buffer[15] ), + .A2(net26), + .B1(\top_inst.data[12] ), + .B2(net32), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_318_)); + sky130_fd_sc_hd__a22o_1 _625_ (.A1(\top_inst.spi_inst.buffer[16] ), + .A2(net9), + .B1(_318_), + .B2(net13), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_097_)); + sky130_fd_sc_hd__a22o_1 _626_ (.A1(\top_inst.spi_inst.buffer[16] ), + .A2(net26), + .B1(\top_inst.data[13] ), + .B2(net33), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_319_)); + sky130_fd_sc_hd__a22o_1 _627_ (.A1(\top_inst.spi_inst.buffer[17] ), + .A2(net9), + .B1(_319_), + .B2(net12), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_098_)); + sky130_fd_sc_hd__a22o_1 _628_ (.A1(\top_inst.spi_inst.buffer[17] ), + .A2(net26), + .B1(\top_inst.data[14] ), + .B2(net32), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_320_)); + sky130_fd_sc_hd__a22o_1 _629_ (.A1(\top_inst.spi_inst.buffer[18] ), + .A2(net10), + .B1(_320_), + .B2(net12), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_099_)); + sky130_fd_sc_hd__a22o_1 _630_ (.A1(\top_inst.spi_inst.buffer[18] ), + .A2(net26), + .B1(\top_inst.data[15] ), + .B2(net32), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_321_)); + sky130_fd_sc_hd__a22o_1 _631_ (.A1(\top_inst.spi_inst.buffer[19] ), + .A2(net10), + .B1(_321_), + .B2(net12), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_100_)); + sky130_fd_sc_hd__a22o_1 _632_ (.A1(\top_inst.spi_inst.buffer[19] ), + .A2(net26), + .B1(\top_inst.data[16] ), + .B2(net32), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_322_)); + sky130_fd_sc_hd__a22o_1 _633_ (.A1(\top_inst.spi_inst.buffer[20] ), + .A2(net9), + .B1(_322_), + .B2(net12), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_101_)); + sky130_fd_sc_hd__a22o_1 _634_ (.A1(\top_inst.spi_inst.buffer[20] ), + .A2(net26), + .B1(\top_inst.data[17] ), + .B2(net32), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_323_)); + sky130_fd_sc_hd__a22o_1 _635_ (.A1(\top_inst.spi_inst.buffer[21] ), + .A2(net10), + .B1(_323_), + .B2(net12), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_102_)); + sky130_fd_sc_hd__a22o_1 _636_ (.A1(\top_inst.spi_inst.buffer[21] ), + .A2(net26), + .B1(\top_inst.data[18] ), + .B2(net32), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_324_)); + sky130_fd_sc_hd__a22o_1 _637_ (.A1(\top_inst.spi_inst.buffer[22] ), + .A2(net10), + .B1(_324_), + .B2(net12), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_103_)); + sky130_fd_sc_hd__a22o_1 _638_ (.A1(\top_inst.spi_inst.buffer[22] ), + .A2(net27), + .B1(\top_inst.data[19] ), + .B2(net32), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_325_)); + sky130_fd_sc_hd__a22o_1 _639_ (.A1(\top_inst.spi_inst.buffer[23] ), + .A2(net10), + .B1(_325_), + .B2(net13), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_104_)); + sky130_fd_sc_hd__a221o_1 _640_ (.A1(\top_inst.spi_inst.buffer[23] ), + .A2(net29), + .B1(\top_inst.data[20] ), + .B2(net35), + .C1(net20), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_326_)); + sky130_fd_sc_hd__o211a_1 _641_ (.A1(\top_inst.spi_inst.buffer[24] ), + .A2(net18), + .B1(_326_), + .C1(net65), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_105_)); + sky130_fd_sc_hd__a221o_1 _642_ (.A1(\top_inst.spi_inst.buffer[24] ), + .A2(net29), + .B1(\top_inst.data[21] ), + .B2(net35), + .C1(net21), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_327_)); + sky130_fd_sc_hd__o211a_1 _643_ (.A1(\top_inst.spi_inst.buffer[25] ), + .A2(net18), + .B1(_327_), + .C1(net66), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_106_)); + sky130_fd_sc_hd__a221o_1 _644_ (.A1(\top_inst.spi_inst.buffer[25] ), + .A2(net28), + .B1(\top_inst.data[10] ), + .B2(net34), + .C1(net20), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_328_)); + sky130_fd_sc_hd__o211a_1 _645_ (.A1(\top_inst.spi_inst.buffer[26] ), + .A2(net19), + .B1(_328_), + .C1(net67), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_107_)); + sky130_fd_sc_hd__a221o_1 _646_ (.A1(\top_inst.spi_inst.buffer[26] ), + .A2(net25), + .B1(\top_inst.data[11] ), + .B2(net36), + .C1(net22), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_329_)); + sky130_fd_sc_hd__o211a_1 _647_ (.A1(\top_inst.spi_inst.buffer[27] ), + .A2(net19), + .B1(_329_), + .C1(net67), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_108_)); + sky130_fd_sc_hd__a22o_1 _648_ (.A1(\top_inst.spi_inst.buffer[28] ), + .A2(net7), + .B1(net5), + .B2(\top_inst.spi_inst.buffer[27] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_109_)); + sky130_fd_sc_hd__a22o_1 _649_ (.A1(\top_inst.spi_inst.buffer[29] ), + .A2(net7), + .B1(net5), + .B2(\top_inst.spi_inst.buffer[28] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_110_)); + sky130_fd_sc_hd__a22o_1 _650_ (.A1(\top_inst.spi_inst.buffer[30] ), + .A2(net7), + .B1(net5), + .B2(\top_inst.spi_inst.buffer[29] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_111_)); + sky130_fd_sc_hd__a22o_1 _651_ (.A1(\top_inst.spi_inst.buffer[31] ), + .A2(net7), + .B1(net5), + .B2(\top_inst.spi_inst.buffer[30] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_112_)); + sky130_fd_sc_hd__a22o_1 _652_ (.A1(\top_inst.spi_inst.buffer[31] ), + .A2(net25), + .B1(\top_inst.data[24] ), + .B2(net30), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_330_)); + sky130_fd_sc_hd__a22o_1 _653_ (.A1(\top_inst.spi_inst.buffer[32] ), + .A2(net8), + .B1(_330_), + .B2(net14), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_113_)); + sky130_fd_sc_hd__a22o_1 _654_ (.A1(\top_inst.spi_inst.buffer[32] ), + .A2(net25), + .B1(\top_inst.data[25] ), + .B2(net30), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_331_)); + sky130_fd_sc_hd__a22o_1 _655_ (.A1(\top_inst.spi_inst.buffer[33] ), + .A2(net8), + .B1(_331_), + .B2(net14), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_114_)); + sky130_fd_sc_hd__a22o_1 _656_ (.A1(\top_inst.spi_inst.buffer[33] ), + .A2(net25), + .B1(\top_inst.data[26] ), + .B2(net30), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_332_)); + sky130_fd_sc_hd__a22o_1 _657_ (.A1(\top_inst.spi_inst.buffer[34] ), + .A2(net8), + .B1(_332_), + .B2(net14), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_115_)); + sky130_fd_sc_hd__a22o_1 _658_ (.A1(\top_inst.spi_inst.buffer[34] ), + .A2(net25), + .B1(\top_inst.data[27] ), + .B2(net33), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_333_)); + sky130_fd_sc_hd__a22o_1 _659_ (.A1(\top_inst.spi_inst.buffer[35] ), + .A2(net8), + .B1(_333_), + .B2(net14), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_116_)); + sky130_fd_sc_hd__a22o_1 _660_ (.A1(\top_inst.spi_inst.buffer[35] ), + .A2(net27), + .B1(\top_inst.data[28] ), + .B2(net33), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_334_)); + sky130_fd_sc_hd__a22o_1 _661_ (.A1(\top_inst.spi_inst.buffer[36] ), + .A2(net9), + .B1(_334_), + .B2(net12), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_117_)); + sky130_fd_sc_hd__a22o_1 _662_ (.A1(\top_inst.spi_inst.buffer[36] ), + .A2(net27), + .B1(\top_inst.data[29] ), + .B2(net33), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_335_)); + sky130_fd_sc_hd__a22o_1 _663_ (.A1(\top_inst.spi_inst.buffer[37] ), + .A2(net9), + .B1(_335_), + .B2(net12), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_118_)); + sky130_fd_sc_hd__a22o_1 _664_ (.A1(\top_inst.spi_inst.buffer[37] ), + .A2(net27), + .B1(\top_inst.data[30] ), + .B2(net32), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_336_)); + sky130_fd_sc_hd__a22o_1 _665_ (.A1(\top_inst.spi_inst.buffer[38] ), + .A2(net9), + .B1(_336_), + .B2(net12), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_119_)); + sky130_fd_sc_hd__a22o_1 _666_ (.A1(\top_inst.spi_inst.buffer[38] ), + .A2(net26), + .B1(\top_inst.data[31] ), + .B2(net32), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_337_)); + sky130_fd_sc_hd__a22o_1 _667_ (.A1(\top_inst.spi_inst.buffer[39] ), + .A2(net9), + .B1(_337_), + .B2(net12), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_120_)); + sky130_fd_sc_hd__a221o_1 _668_ (.A1(\top_inst.spi_inst.buffer[39] ), + .A2(net29), + .B1(\top_inst.data[20] ), + .B2(net35), + .C1(net20), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_338_)); + sky130_fd_sc_hd__o211a_1 _669_ (.A1(\top_inst.spi_inst.buffer[40] ), + .A2(net18), + .B1(_338_), + .C1(net65), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_121_)); + sky130_fd_sc_hd__a221o_1 _670_ (.A1(\top_inst.spi_inst.buffer[40] ), + .A2(net29), + .B1(\top_inst.data[21] ), + .B2(net35), + .C1(net21), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_339_)); + sky130_fd_sc_hd__o211a_1 _671_ (.A1(\top_inst.spi_inst.buffer[41] ), + .A2(_189_), + .B1(_339_), + .C1(net66), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_122_)); + sky130_fd_sc_hd__a221o_1 _672_ (.A1(\top_inst.spi_inst.buffer[41] ), + .A2(net28), + .B1(\top_inst.data[10] ), + .B2(net34), + .C1(net20), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_340_)); + sky130_fd_sc_hd__o211a_1 _673_ (.A1(\top_inst.spi_inst.buffer[42] ), + .A2(net18), + .B1(_340_), + .C1(net66), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_123_)); + sky130_fd_sc_hd__a221o_1 _674_ (.A1(\top_inst.spi_inst.buffer[42] ), + .A2(net29), + .B1(\top_inst.data[11] ), + .B2(net34), + .C1(net20), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_341_)); + sky130_fd_sc_hd__o211a_1 _675_ (.A1(\top_inst.spi_inst.buffer[43] ), + .A2(net18), + .B1(_341_), + .C1(net66), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_124_)); + sky130_fd_sc_hd__a22o_1 _676_ (.A1(\top_inst.spi_inst.buffer[44] ), + .A2(net11), + .B1(net6), + .B2(\top_inst.spi_inst.buffer[43] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_125_)); + sky130_fd_sc_hd__a22o_1 _677_ (.A1(\top_inst.spi_inst.buffer[45] ), + .A2(net11), + .B1(net6), + .B2(\top_inst.spi_inst.buffer[44] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_126_)); + sky130_fd_sc_hd__a22o_1 _678_ (.A1(\top_inst.spi_inst.buffer[46] ), + .A2(net8), + .B1(net5), + .B2(\top_inst.spi_inst.buffer[45] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_127_)); + sky130_fd_sc_hd__a22o_1 _679_ (.A1(\top_inst.spi_inst.buffer[47] ), + .A2(net7), + .B1(net5), + .B2(\top_inst.spi_inst.buffer[46] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_128_)); + sky130_fd_sc_hd__a22o_1 _680_ (.A1(\top_inst.spi_inst.buffer[47] ), + .A2(net24), + .B1(\top_inst.data[36] ), + .B2(net36), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_342_)); + sky130_fd_sc_hd__a22o_1 _681_ (.A1(\top_inst.spi_inst.buffer[48] ), + .A2(net8), + .B1(_342_), + .B2(net14), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_129_)); + sky130_fd_sc_hd__a221o_1 _682_ (.A1(\top_inst.spi_inst.buffer[48] ), + .A2(net24), + .B1(\top_inst.data[37] ), + .B2(net34), + .C1(net22), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_343_)); + sky130_fd_sc_hd__o211a_1 _683_ (.A1(\top_inst.spi_inst.buffer[49] ), + .A2(net19), + .B1(_343_), + .C1(net66), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_130_)); + sky130_fd_sc_hd__a221o_1 _684_ (.A1(\top_inst.spi_inst.buffer[49] ), + .A2(net28), + .B1(\top_inst.data[37] ), + .B2(net34), + .C1(net20), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_344_)); + sky130_fd_sc_hd__o211a_1 _685_ (.A1(\top_inst.spi_inst.buffer[50] ), + .A2(net18), + .B1(_344_), + .C1(net66), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_131_)); + sky130_fd_sc_hd__a22o_1 _686_ (.A1(\top_inst.spi_inst.buffer[50] ), + .A2(net28), + .B1(\top_inst.data[39] ), + .B2(net34), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_345_)); + sky130_fd_sc_hd__a22o_1 _687_ (.A1(\top_inst.spi_inst.buffer[51] ), + .A2(net9), + .B1(_345_), + .B2(net13), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_132_)); + sky130_fd_sc_hd__a22o_1 _688_ (.A1(\top_inst.spi_inst.buffer[51] ), + .A2(net26), + .B1(\top_inst.data[40] ), + .B2(net33), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_346_)); + sky130_fd_sc_hd__a22o_1 _689_ (.A1(\top_inst.spi_inst.buffer[52] ), + .A2(net9), + .B1(_346_), + .B2(net13), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_133_)); + sky130_fd_sc_hd__a22o_1 _690_ (.A1(\top_inst.spi_inst.buffer[52] ), + .A2(net26), + .B1(\top_inst.data[41] ), + .B2(net32), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_347_)); + sky130_fd_sc_hd__a22o_1 _691_ (.A1(\top_inst.spi_inst.buffer[53] ), + .A2(net9), + .B1(_347_), + .B2(net13), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_134_)); + sky130_fd_sc_hd__a22o_1 _692_ (.A1(\top_inst.spi_inst.buffer[53] ), + .A2(net29), + .B1(\top_inst.data[42] ), + .B2(net35), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_348_)); + sky130_fd_sc_hd__a22o_1 _693_ (.A1(\top_inst.spi_inst.buffer[54] ), + .A2(net11), + .B1(_348_), + .B2(net13), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_135_)); + sky130_fd_sc_hd__a22o_1 _694_ (.A1(\top_inst.spi_inst.buffer[55] ), + .A2(net11), + .B1(net6), + .B2(\top_inst.spi_inst.buffer[54] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_136_)); + sky130_fd_sc_hd__a221o_1 _695_ (.A1(\top_inst.spi_inst.buffer[55] ), + .A2(net29), + .B1(\top_inst.data[20] ), + .B2(net35), + .C1(net21), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_349_)); + sky130_fd_sc_hd__o211a_1 _696_ (.A1(\top_inst.spi_inst.buffer[56] ), + .A2(net18), + .B1(_349_), + .C1(net65), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_137_)); + sky130_fd_sc_hd__a221o_1 _697_ (.A1(\top_inst.spi_inst.buffer[56] ), + .A2(net29), + .B1(\top_inst.data[21] ), + .B2(net35), + .C1(net21), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_350_)); + sky130_fd_sc_hd__o211a_1 _698_ (.A1(\top_inst.spi_inst.buffer[57] ), + .A2(_189_), + .B1(_350_), + .C1(net66), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_138_)); + sky130_fd_sc_hd__a221o_1 _699_ (.A1(\top_inst.spi_inst.buffer[57] ), + .A2(net28), + .B1(\top_inst.data[10] ), + .B2(net34), + .C1(net20), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_351_)); + sky130_fd_sc_hd__o211a_1 _700_ (.A1(\top_inst.spi_inst.buffer[58] ), + .A2(net19), + .B1(_351_), + .C1(net67), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_139_)); + sky130_fd_sc_hd__a221o_1 _701_ (.A1(\top_inst.spi_inst.buffer[58] ), + .A2(net25), + .B1(\top_inst.data[11] ), + .B2(net34), + .C1(net22), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_352_)); + sky130_fd_sc_hd__o211a_1 _702_ (.A1(\top_inst.spi_inst.buffer[59] ), + .A2(net19), + .B1(_352_), + .C1(net67), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_140_)); + sky130_fd_sc_hd__a22o_1 _703_ (.A1(\top_inst.spi_inst.buffer[60] ), + .A2(net7), + .B1(net5), + .B2(\top_inst.spi_inst.buffer[59] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_141_)); + sky130_fd_sc_hd__a22o_1 _704_ (.A1(\top_inst.spi_inst.buffer[61] ), + .A2(net7), + .B1(net5), + .B2(\top_inst.spi_inst.buffer[60] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_142_)); + sky130_fd_sc_hd__a22o_1 _705_ (.A1(\top_inst.spi_inst.buffer[62] ), + .A2(net7), + .B1(net5), + .B2(\top_inst.spi_inst.buffer[61] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_143_)); + sky130_fd_sc_hd__a22o_1 _706_ (.A1(\top_inst.spi_inst.buffer[63] ), + .A2(net7), + .B1(net5), + .B2(\top_inst.spi_inst.buffer[62] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_144_)); + sky130_fd_sc_hd__dfxtp_1 _707_ (.CLK(net47), + .D(_006_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.controller_inst.spi_load_next )); + sky130_fd_sc_hd__dfxtp_1 _708_ (.CLK(net54), + .D(_007_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.data[4] )); + sky130_fd_sc_hd__dfxtp_1 _709_ (.CLK(net58), + .D(_008_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.data[7] )); + sky130_fd_sc_hd__dfxtp_1 _710_ (.CLK(net50), + .D(_009_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.data[41] )); + sky130_fd_sc_hd__dfxtp_1 _711_ (.CLK(net61), + .D(_010_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.data[42] )); + sky130_fd_sc_hd__dfxtp_1 _712_ (.CLK(clknet_1_1__leaf_clk), + .D(_011_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.counter[0] )); + sky130_fd_sc_hd__dfxtp_1 _713_ (.CLK(clknet_1_1__leaf_clk), + .D(net90), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.counter[1] )); + sky130_fd_sc_hd__dfxtp_1 _714_ (.CLK(clknet_1_1__leaf_clk), + .D(_013_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.counter[2] )); + sky130_fd_sc_hd__dfxtp_1 _715_ (.CLK(clknet_1_0__leaf_clk), + .D(_014_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.counter[3] )); + sky130_fd_sc_hd__dfxtp_1 _716_ (.CLK(clknet_1_0__leaf_clk), + .D(_015_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.clk )); + sky130_fd_sc_hd__dfxtp_1 _717_ (.CLK(net47), + .D(\top_inst.controller_inst.spi_load_pending ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.controller_inst.spi_load )); + sky130_fd_sc_hd__dfxtp_1 _718_ (.CLK(net44), + .D(_016_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.controller_inst.counter[0] )); + sky130_fd_sc_hd__dfxtp_1 _719_ (.CLK(net44), + .D(_017_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.controller_inst.counter[1] )); + sky130_fd_sc_hd__dfxtp_1 _720_ (.CLK(net44), + .D(_018_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.controller_inst.counter[2] )); + sky130_fd_sc_hd__dfxtp_1 _721_ (.CLK(net44), + .D(_019_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.controller_inst.counter[3] )); + sky130_fd_sc_hd__dfxtp_1 _722_ (.CLK(net43), + .D(_020_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.controller_inst.counter[4] )); + sky130_fd_sc_hd__dfxtp_1 _723_ (.CLK(net43), + .D(_021_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.controller_inst.counter[5] )); + sky130_fd_sc_hd__dfxtp_1 _724_ (.CLK(net43), + .D(_022_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.controller_inst.counter[6] )); + sky130_fd_sc_hd__dfxtp_1 _725_ (.CLK(net43), + .D(_023_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.controller_inst.counter[7] )); + sky130_fd_sc_hd__dfxtp_1 _726_ (.CLK(net43), + .D(_024_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.controller_inst.counter[8] )); + sky130_fd_sc_hd__dfxtp_1 _727_ (.CLK(net43), + .D(_025_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.controller_inst.counter[9] )); + sky130_fd_sc_hd__dfxtp_1 _728_ (.CLK(net43), + .D(_026_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.controller_inst.counter[10] )); + sky130_fd_sc_hd__dfxtp_1 _729_ (.CLK(net43), + .D(_027_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.controller_inst.counter[11] )); + sky130_fd_sc_hd__dfxtp_1 _730_ (.CLK(net43), + .D(_028_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.controller_inst.counter[12] )); + sky130_fd_sc_hd__dfxtp_1 _731_ (.CLK(net43), + .D(_029_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.controller_inst.counter[13] )); + sky130_fd_sc_hd__dfxtp_1 _732_ (.CLK(net44), + .D(_030_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.controller_inst.counter[14] )); + sky130_fd_sc_hd__dfxtp_1 _733_ (.CLK(net45), + .D(_031_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.controller_inst.counter[15] )); + sky130_fd_sc_hd__dfxtp_1 _734_ (.CLK(net45), + .D(_032_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.controller_inst.counter[16] )); + sky130_fd_sc_hd__dfxtp_1 _735_ (.CLK(net45), + .D(_033_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.controller_inst.counter[17] )); + sky130_fd_sc_hd__dfxtp_1 _736_ (.CLK(net45), + .D(_034_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.controller_inst.counter[18] )); + sky130_fd_sc_hd__dfxtp_1 _737_ (.CLK(net45), + .D(_035_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.controller_inst.counter[19] )); + sky130_fd_sc_hd__dfxtp_1 _738_ (.CLK(net45), + .D(_036_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.controller_inst.counter[20] )); + sky130_fd_sc_hd__dfxtp_1 _739_ (.CLK(net45), + .D(_037_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.controller_inst.counter[21] )); + sky130_fd_sc_hd__dfxtp_1 _740_ (.CLK(net46), + .D(_038_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.address[0] )); + sky130_fd_sc_hd__dfxtp_1 _741_ (.CLK(net46), + .D(_039_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.address[1] )); + sky130_fd_sc_hd__dfxtp_1 _742_ (.CLK(net46), + .D(_040_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.address[2] )); + sky130_fd_sc_hd__dfxtp_1 _743_ (.CLK(net44), + .D(_041_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.address[3] )); + sky130_fd_sc_hd__dfxtp_1 _744_ (.CLK(net44), + .D(_042_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.address[4] )); + sky130_fd_sc_hd__dfxtp_1 _745_ (.CLK(net49), + .D(_043_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.address[5] )); + sky130_fd_sc_hd__dfxtp_1 _746_ (.CLK(net49), + .D(_044_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.address[6] )); + sky130_fd_sc_hd__dfxtp_1 _747_ (.CLK(net47), + .D(\top_inst.controller_inst.spi_load_next ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.controller_inst.spi_load_pending )); + sky130_fd_sc_hd__dfxtp_1 _748_ (.CLK(net58), + .D(_045_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.data[20] )); + sky130_fd_sc_hd__dfxtp_1 _749_ (.CLK(net47), + .D(_046_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.data[0] )); + sky130_fd_sc_hd__dfxtp_1 _750_ (.CLK(net53), + .D(_047_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.data[12] )); + sky130_fd_sc_hd__dfxtp_1 _751_ (.CLK(net46), + .D(_048_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.data[24] )); + sky130_fd_sc_hd__dfxtp_1 _752_ (.CLK(net54), + .D(_049_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.data[36] )); + sky130_fd_sc_hd__dfxtp_1 _753_ (.CLK(net45), + .D(_050_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.data[6] )); + sky130_fd_sc_hd__dfxtp_1 _754_ (.CLK(net44), + .D(_000_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.controller_inst.state[0] )); + sky130_fd_sc_hd__dfxtp_1 _755_ (.CLK(net45), + .D(_001_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.controller_inst.state[1] )); + sky130_fd_sc_hd__dfxtp_1 _756_ (.CLK(net46), + .D(_002_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.controller_inst.state[2] )); + sky130_fd_sc_hd__dfxtp_1 _757_ (.CLK(net45), + .D(_003_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.controller_inst.state[3] )); + sky130_fd_sc_hd__dfxtp_1 _758_ (.CLK(net48), + .D(_051_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.spi_inst.counter[0] )); + sky130_fd_sc_hd__dfxtp_1 _759_ (.CLK(net48), + .D(_052_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.spi_inst.counter[1] )); + sky130_fd_sc_hd__dfxtp_1 _760_ (.CLK(net48), + .D(_053_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.spi_inst.counter[2] )); + sky130_fd_sc_hd__dfxtp_1 _761_ (.CLK(net48), + .D(_054_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.spi_inst.counter[3] )); + sky130_fd_sc_hd__dfxtp_1 _762_ (.CLK(net48), + .D(_055_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.spi_inst.counter[4] )); + sky130_fd_sc_hd__dfxtp_1 _763_ (.CLK(net48), + .D(_056_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.spi_inst.counter[5] )); + sky130_fd_sc_hd__dfxtp_1 _764_ (.CLK(net48), + .D(_057_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.spi_inst.counter[6] )); + sky130_fd_sc_hd__dfxtp_1 _765_ (.CLK(net47), + .D(_004_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.controller_inst.spi_ready )); + sky130_fd_sc_hd__dfxtp_1 _766_ (.CLK(net47), + .D(_005_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.spi_inst.state[1] )); + sky130_fd_sc_hd__dfxtp_1 _767_ (.CLK(net52), + .D(_058_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.data[28] )); + sky130_fd_sc_hd__dfxtp_1 _768_ (.CLK(net56), + .D(_059_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.data[11] )); + sky130_fd_sc_hd__dfxtp_1 _769_ (.CLK(net51), + .D(_060_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.data[30] )); + sky130_fd_sc_hd__dfxtp_1 _770_ (.CLK(net51), + .D(_061_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.data[31] )); + sky130_fd_sc_hd__dfxtp_1 _771_ (.CLK(net52), + .D(_062_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.data[5] )); + sky130_fd_sc_hd__dfxtp_1 _772_ (.CLK(net50), + .D(_063_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.data[16] )); + sky130_fd_sc_hd__dfxtp_1 _773_ (.CLK(net50), + .D(_064_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.data[17] )); + sky130_fd_sc_hd__dfxtp_1 _774_ (.CLK(net50), + .D(_065_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.data[18] )); + sky130_fd_sc_hd__dfxtp_1 _775_ (.CLK(net50), + .D(_066_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.data[19] )); + sky130_fd_sc_hd__dfxtp_1 _776_ (.CLK(net52), + .D(_067_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.data[29] )); + sky130_fd_sc_hd__dfxtp_1 _777_ (.CLK(net47), + .D(_068_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.spi_inst.buffer[0] )); + sky130_fd_sc_hd__dfxtp_1 _778_ (.CLK(net50), + .D(_069_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.data[40] )); + sky130_fd_sc_hd__dfxtp_1 _779_ (.CLK(net47), + .D(_070_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.data[1] )); + sky130_fd_sc_hd__dfxtp_1 _780_ (.CLK(net47), + .D(_071_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.data[2] )); + sky130_fd_sc_hd__dfxtp_1 _781_ (.CLK(net50), + .D(_072_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.data[13] )); + sky130_fd_sc_hd__dfxtp_1 _782_ (.CLK(net50), + .D(_073_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.data[14] )); + sky130_fd_sc_hd__dfxtp_1 _783_ (.CLK(net50), + .D(_074_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.data[15] )); + sky130_fd_sc_hd__dfxtp_1 _784_ (.CLK(net52), + .D(_075_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.data[25] )); + sky130_fd_sc_hd__dfxtp_1 _785_ (.CLK(net52), + .D(_076_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.data[26] )); + sky130_fd_sc_hd__dfxtp_1 _786_ (.CLK(net52), + .D(_077_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.data[27] )); + sky130_fd_sc_hd__dfxtp_1 _787_ (.CLK(net54), + .D(_078_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.data[10] )); + sky130_fd_sc_hd__dfxtp_1 _788_ (.CLK(net57), + .D(_079_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.data[37] )); + sky130_fd_sc_hd__dfxtp_1 _789_ (.CLK(net57), + .D(_080_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.data[39] )); + sky130_fd_sc_hd__dfxtp_1 _790_ (.CLK(net58), + .D(_081_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.data[21] )); + sky130_fd_sc_hd__dfxtp_1 _791_ (.CLK(net47), + .D(_082_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.spi_inst.buffer[1] )); + sky130_fd_sc_hd__dfxtp_1 _792_ (.CLK(net54), + .D(_083_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.spi_inst.buffer[2] )); + sky130_fd_sc_hd__dfxtp_1 _793_ (.CLK(net54), + .D(_084_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.spi_inst.buffer[3] )); + sky130_fd_sc_hd__dfxtp_1 _794_ (.CLK(net54), + .D(_085_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.spi_inst.buffer[4] )); + sky130_fd_sc_hd__dfxtp_1 _795_ (.CLK(net54), + .D(_086_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.spi_inst.buffer[5] )); + sky130_fd_sc_hd__dfxtp_1 _796_ (.CLK(net57), + .D(_087_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.spi_inst.buffer[6] )); + sky130_fd_sc_hd__dfxtp_1 _797_ (.CLK(net58), + .D(_088_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.spi_inst.buffer[7] )); + sky130_fd_sc_hd__dfxtp_1 _798_ (.CLK(net58), + .D(_089_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.spi_inst.buffer[8] )); + sky130_fd_sc_hd__dfxtp_1 _799_ (.CLK(net59), + .D(_090_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.spi_inst.buffer[9] )); + sky130_fd_sc_hd__dfxtp_1 _800_ (.CLK(net59), + .D(_091_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.spi_inst.buffer[10] )); + sky130_fd_sc_hd__dfxtp_1 _801_ (.CLK(net59), + .D(_092_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.spi_inst.buffer[11] )); + sky130_fd_sc_hd__dfxtp_1 _802_ (.CLK(net59), + .D(_093_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.spi_inst.buffer[12] )); + sky130_fd_sc_hd__dfxtp_1 _803_ (.CLK(net59), + .D(_094_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.spi_inst.buffer[13] )); + sky130_fd_sc_hd__dfxtp_1 _804_ (.CLK(net59), + .D(_095_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.spi_inst.buffer[14] )); + sky130_fd_sc_hd__dfxtp_1 _805_ (.CLK(net59), + .D(_096_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.spi_inst.buffer[15] )); + sky130_fd_sc_hd__dfxtp_1 _806_ (.CLK(net53), + .D(_097_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.spi_inst.buffer[16] )); + sky130_fd_sc_hd__dfxtp_1 _807_ (.CLK(net50), + .D(_098_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.spi_inst.buffer[17] )); + sky130_fd_sc_hd__dfxtp_1 _808_ (.CLK(net53), + .D(_099_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.spi_inst.buffer[18] )); + sky130_fd_sc_hd__dfxtp_1 _809_ (.CLK(net51), + .D(_100_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.spi_inst.buffer[19] )); + sky130_fd_sc_hd__dfxtp_1 _810_ (.CLK(net51), + .D(_101_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.spi_inst.buffer[20] )); + sky130_fd_sc_hd__dfxtp_1 _811_ (.CLK(net51), + .D(_102_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.spi_inst.buffer[21] )); + sky130_fd_sc_hd__dfxtp_1 _812_ (.CLK(net53), + .D(_103_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.spi_inst.buffer[22] )); + sky130_fd_sc_hd__dfxtp_1 _813_ (.CLK(net58), + .D(_104_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.spi_inst.buffer[23] )); + sky130_fd_sc_hd__dfxtp_1 _814_ (.CLK(net61), + .D(_105_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.spi_inst.buffer[24] )); + sky130_fd_sc_hd__dfxtp_1 _815_ (.CLK(net59), + .D(_106_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.spi_inst.buffer[25] )); + sky130_fd_sc_hd__dfxtp_1 _816_ (.CLK(net55), + .D(_107_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.spi_inst.buffer[26] )); + sky130_fd_sc_hd__dfxtp_1 _817_ (.CLK(net55), + .D(_108_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.spi_inst.buffer[27] )); + sky130_fd_sc_hd__dfxtp_1 _818_ (.CLK(net55), + .D(_109_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.spi_inst.buffer[28] )); + sky130_fd_sc_hd__dfxtp_1 _819_ (.CLK(net48), + .D(_110_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.spi_inst.buffer[29] )); + sky130_fd_sc_hd__dfxtp_1 _820_ (.CLK(net48), + .D(_111_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.spi_inst.buffer[30] )); + sky130_fd_sc_hd__dfxtp_1 _821_ (.CLK(net54), + .D(_112_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.spi_inst.buffer[31] )); + sky130_fd_sc_hd__dfxtp_1 _822_ (.CLK(net52), + .D(_113_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.spi_inst.buffer[32] )); + sky130_fd_sc_hd__dfxtp_1 _823_ (.CLK(net52), + .D(_114_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.spi_inst.buffer[33] )); + sky130_fd_sc_hd__dfxtp_1 _824_ (.CLK(net52), + .D(_115_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.spi_inst.buffer[34] )); + sky130_fd_sc_hd__dfxtp_1 _825_ (.CLK(net52), + .D(_116_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.spi_inst.buffer[35] )); + sky130_fd_sc_hd__dfxtp_1 _826_ (.CLK(net51), + .D(_117_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.spi_inst.buffer[36] )); + sky130_fd_sc_hd__dfxtp_1 _827_ (.CLK(net51), + .D(_118_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.spi_inst.buffer[37] )); + sky130_fd_sc_hd__dfxtp_1 _828_ (.CLK(net51), + .D(_119_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.spi_inst.buffer[38] )); + sky130_fd_sc_hd__dfxtp_1 _829_ (.CLK(net51), + .D(_120_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.spi_inst.buffer[39] )); + sky130_fd_sc_hd__dfxtp_1 _830_ (.CLK(net61), + .D(_121_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.spi_inst.buffer[40] )); + sky130_fd_sc_hd__dfxtp_1 _831_ (.CLK(net60), + .D(_122_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.spi_inst.buffer[41] )); + sky130_fd_sc_hd__dfxtp_1 _832_ (.CLK(net55), + .D(_123_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.spi_inst.buffer[42] )); + sky130_fd_sc_hd__dfxtp_1 _833_ (.CLK(net59), + .D(_124_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.spi_inst.buffer[43] )); + sky130_fd_sc_hd__dfxtp_1 _834_ (.CLK(net59), + .D(_125_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.spi_inst.buffer[44] )); + sky130_fd_sc_hd__dfxtp_1 _835_ (.CLK(net56), + .D(_126_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.spi_inst.buffer[45] )); + sky130_fd_sc_hd__dfxtp_1 _836_ (.CLK(net55), + .D(_127_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.spi_inst.buffer[46] )); + sky130_fd_sc_hd__dfxtp_1 _837_ (.CLK(net56), + .D(_128_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.spi_inst.buffer[47] )); + sky130_fd_sc_hd__dfxtp_1 _838_ (.CLK(net54), + .D(_129_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.spi_inst.buffer[48] )); + sky130_fd_sc_hd__dfxtp_1 _839_ (.CLK(net57), + .D(_130_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.spi_inst.buffer[49] )); + sky130_fd_sc_hd__dfxtp_1 _840_ (.CLK(net54), + .D(_131_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.spi_inst.buffer[50] )); + sky130_fd_sc_hd__dfxtp_1 _841_ (.CLK(net58), + .D(_132_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.spi_inst.buffer[51] )); + sky130_fd_sc_hd__dfxtp_1 _842_ (.CLK(net61), + .D(_133_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.spi_inst.buffer[52] )); + sky130_fd_sc_hd__dfxtp_1 _843_ (.CLK(net58), + .D(_134_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.spi_inst.buffer[53] )); + sky130_fd_sc_hd__dfxtp_1 _844_ (.CLK(net58), + .D(_135_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.spi_inst.buffer[54] )); + sky130_fd_sc_hd__dfxtp_1 _845_ (.CLK(net58), + .D(_136_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.spi_inst.buffer[55] )); + sky130_fd_sc_hd__dfxtp_1 _846_ (.CLK(net60), + .D(_137_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.spi_inst.buffer[56] )); + sky130_fd_sc_hd__dfxtp_1 _847_ (.CLK(net60), + .D(_138_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.spi_inst.buffer[57] )); + sky130_fd_sc_hd__dfxtp_1 _848_ (.CLK(net56), + .D(_139_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.spi_inst.buffer[58] )); + sky130_fd_sc_hd__dfxtp_1 _849_ (.CLK(net56), + .D(_140_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.spi_inst.buffer[59] )); + sky130_fd_sc_hd__dfxtp_1 _850_ (.CLK(net55), + .D(_141_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.spi_inst.buffer[60] )); + sky130_fd_sc_hd__dfxtp_1 _851_ (.CLK(net55), + .D(_142_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.spi_inst.buffer[61] )); + sky130_fd_sc_hd__dfxtp_1 _852_ (.CLK(net55), + .D(_143_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.spi_inst.buffer[62] )); + sky130_fd_sc_hd__dfxtp_1 _853_ (.CLK(net55), + .D(_144_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\top_inst.spi_inst.buffer[63] )); + sky130_fd_sc_hd__buf_2 _854_ (.A(net68), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(uio_oe[0])); + sky130_fd_sc_hd__buf_2 _855_ (.A(net69), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(uio_oe[1])); + sky130_fd_sc_hd__buf_2 _856_ (.A(net70), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(uio_oe[2])); + sky130_fd_sc_hd__buf_2 _857_ (.A(net71), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(uio_oe[3])); + sky130_fd_sc_hd__buf_2 _858_ (.A(net72), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(uio_oe[4])); + sky130_fd_sc_hd__buf_2 _859_ (.A(net73), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(uio_oe[5])); + sky130_fd_sc_hd__buf_2 _860_ (.A(net74), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(uio_oe[6])); + sky130_fd_sc_hd__buf_2 _861_ (.A(net75), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(uio_oe[7])); + sky130_fd_sc_hd__buf_2 _862_ (.A(net76), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(uio_out[0])); + sky130_fd_sc_hd__buf_2 _863_ (.A(net77), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(uio_out[1])); + sky130_fd_sc_hd__buf_2 _864_ (.A(net78), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(uio_out[2])); + sky130_fd_sc_hd__buf_2 _865_ (.A(net79), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(uio_out[3])); + sky130_fd_sc_hd__buf_2 _866_ (.A(net80), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(uio_out[4])); + sky130_fd_sc_hd__buf_2 _867_ (.A(net81), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(uio_out[5])); + sky130_fd_sc_hd__buf_2 _868_ (.A(net82), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(uio_out[6])); + sky130_fd_sc_hd__buf_2 _869_ (.A(net83), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(uio_out[7])); + sky130_fd_sc_hd__buf_2 _870_ (.A(net55), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(uo_out[0])); + sky130_fd_sc_hd__clkbuf_4 _871_ (.A(\top_inst.spi_cs_n ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(uo_out[1])); + sky130_fd_sc_hd__buf_2 _872_ (.A(\top_inst.spi_inst.buffer[63] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(uo_out[2])); + sky130_fd_sc_hd__buf_2 _873_ (.A(net84), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(uo_out[3])); + sky130_fd_sc_hd__buf_2 _874_ (.A(net85), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(uo_out[4])); + sky130_fd_sc_hd__buf_2 _875_ (.A(net86), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(uo_out[5])); + sky130_fd_sc_hd__buf_2 _876_ (.A(net87), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(uo_out[6])); + sky130_fd_sc_hd__buf_2 _877_ (.A(net88), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(uo_out[7])); + sky130_fd_sc_hd__clkbuf_16 clkbuf_0_clk (.A(clk), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(clknet_0_clk)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_0_Right_0 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_1_Right_1 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_2_Right_2 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_3_Right_3 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_4_Right_4 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_5_Right_5 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_6_Right_6 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_7_Right_7 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_8_Right_8 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_9_Right_9 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_10_Right_10 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_11_Right_11 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_12_Right_12 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_13_Right_13 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_14_Right_14 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_15_Right_15 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_16_Right_16 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_17_Right_17 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_18_Right_18 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_19_Right_19 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_20_Right_20 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_21_Right_21 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_22_Right_22 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_23_Right_23 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_24_Right_24 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_25_Right_25 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_26_Right_26 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_27_Right_27 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_28_Right_28 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_29_Right_29 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_30_Right_30 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_31_Right_31 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_32_Right_32 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_33_Right_33 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_34_Right_34 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_35_Right_35 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_36_Right_36 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_37_Right_37 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_38_Right_38 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_0_Left_39 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_1_Left_40 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_2_Left_41 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_3_Left_42 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_4_Left_43 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_5_Left_44 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_6_Left_45 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_7_Left_46 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_8_Left_47 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_9_Left_48 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_10_Left_49 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_11_Left_50 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_12_Left_51 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_13_Left_52 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_14_Left_53 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_15_Left_54 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_16_Left_55 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_17_Left_56 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_18_Left_57 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_19_Left_58 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_20_Left_59 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_21_Left_60 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_22_Left_61 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_23_Left_62 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_24_Left_63 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_25_Left_64 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_26_Left_65 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_27_Left_66 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_28_Left_67 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_29_Left_68 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_30_Left_69 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_31_Left_70 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_32_Left_71 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_33_Left_72 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_34_Left_73 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_35_Left_74 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_36_Left_75 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_37_Left_76 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_38_Left_77 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_0_78 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_0_79 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_0_80 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_0_81 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_0_82 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_0_83 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_0_84 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_0_85 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_0_86 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_0_87 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_0_88 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_1_89 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_1_90 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_1_91 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_1_92 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_1_93 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_2_94 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_2_95 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_2_96 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_2_97 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_2_98 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_2_99 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_3_100 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_3_101 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_3_102 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_3_103 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_3_104 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_4_105 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_4_106 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_4_107 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_4_108 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_4_109 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_4_110 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_5_111 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_5_112 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_5_113 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_5_114 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_5_115 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_6_116 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_6_117 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_6_118 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_6_119 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_6_120 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_6_121 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_7_122 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_7_123 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_7_124 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_7_125 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_7_126 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_8_127 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_8_128 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_8_129 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_8_130 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_8_131 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_8_132 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_9_133 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_9_134 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_9_135 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_9_136 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_9_137 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_10_138 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_10_139 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_10_140 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_10_141 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_10_142 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_10_143 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_11_144 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_11_145 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_11_146 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_11_147 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_11_148 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_12_149 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_12_150 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_12_151 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_12_152 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_12_153 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_12_154 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_13_155 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_13_156 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_13_157 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_13_158 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_13_159 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_14_160 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_14_161 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_14_162 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_14_163 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_14_164 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_14_165 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_15_166 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_15_167 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_15_168 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_15_169 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_15_170 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_16_171 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_16_172 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_16_173 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_16_174 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_16_175 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_16_176 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_17_177 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_17_178 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_17_179 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_17_180 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_17_181 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_18_182 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_18_183 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_18_184 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_18_185 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_18_186 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_18_187 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_19_188 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_19_189 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_19_190 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_19_191 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_19_192 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_20_193 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_20_194 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_20_195 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_20_196 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_20_197 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_20_198 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_21_199 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_21_200 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_21_201 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_21_202 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_21_203 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_22_204 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_22_205 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_22_206 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_22_207 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_22_208 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_22_209 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_23_210 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_23_211 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_23_212 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_23_213 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_23_214 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_24_215 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_24_216 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_24_217 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_24_218 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_24_219 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_24_220 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_25_221 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_25_222 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_25_223 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_25_224 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_25_225 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_26_226 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_26_227 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_26_228 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_26_229 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_26_230 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_26_231 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_27_232 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_27_233 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_27_234 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_27_235 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_27_236 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_28_237 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_28_238 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_28_239 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_28_240 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_28_241 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_28_242 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_29_243 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_29_244 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_29_245 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_29_246 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_29_247 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_30_248 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_30_249 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_30_250 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_30_251 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_30_252 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_30_253 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_31_254 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_31_255 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_31_256 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_31_257 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_31_258 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_32_259 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_32_260 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_32_261 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_32_262 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_32_263 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_32_264 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_33_265 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_33_266 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_33_267 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_33_268 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_33_269 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_34_270 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_34_271 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_34_272 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_34_273 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_34_274 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_34_275 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_35_276 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_35_277 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_35_278 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_35_279 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_35_280 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_36_281 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_36_282 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_36_283 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_36_284 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_36_285 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_36_286 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_37_287 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_37_288 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_37_289 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_37_290 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_37_291 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_38_292 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_38_293 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_38_294 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_38_295 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_38_296 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_38_297 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_38_298 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_38_299 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_38_300 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_38_301 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_38_302 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__buf_1 input1 (.A(ena), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net1)); + sky130_fd_sc_hd__clkbuf_1 input2 (.A(rst_n), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net2)); + sky130_fd_sc_hd__clkbuf_2 fanout3 (.A(_226_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net3)); + sky130_fd_sc_hd__clkbuf_2 fanout4 (.A(_226_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net4)); + sky130_fd_sc_hd__buf_2 fanout5 (.A(net6), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net5)); + sky130_fd_sc_hd__buf_2 fanout6 (.A(_317_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net6)); + sky130_fd_sc_hd__buf_2 fanout7 (.A(net8), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net7)); + sky130_fd_sc_hd__clkbuf_4 fanout8 (.A(_305_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net8)); + sky130_fd_sc_hd__buf_2 fanout9 (.A(net10), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net9)); + sky130_fd_sc_hd__buf_2 fanout10 (.A(_305_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net10)); + sky130_fd_sc_hd__clkbuf_2 fanout11 (.A(_305_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net11)); + sky130_fd_sc_hd__buf_2 fanout12 (.A(_190_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net12)); + sky130_fd_sc_hd__clkbuf_2 fanout13 (.A(_190_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net13)); + sky130_fd_sc_hd__clkbuf_4 fanout14 (.A(_190_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net14)); + sky130_fd_sc_hd__buf_1 max_cap15 (.A(_159_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net15)); + sky130_fd_sc_hd__clkbuf_2 fanout16 (.A(_194_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net16)); + sky130_fd_sc_hd__buf_1 fanout17 (.A(_194_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net17)); + sky130_fd_sc_hd__buf_2 fanout18 (.A(net19), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net18)); + sky130_fd_sc_hd__buf_2 fanout19 (.A(_189_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net19)); + sky130_fd_sc_hd__buf_2 fanout20 (.A(net22), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net20)); + sky130_fd_sc_hd__buf_1 fanout21 (.A(net22), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net21)); + sky130_fd_sc_hd__buf_2 fanout22 (.A(_188_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net22)); + sky130_fd_sc_hd__clkbuf_2 wire23 (.A(_158_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net23)); + sky130_fd_sc_hd__buf_2 fanout24 (.A(net25), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net24)); + sky130_fd_sc_hd__buf_2 fanout25 (.A(\top_inst.spi_inst.state[1] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net25)); + sky130_fd_sc_hd__buf_2 fanout26 (.A(net27), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net26)); + sky130_fd_sc_hd__dlymetal6s2s_1 fanout27 (.A(\top_inst.spi_inst.state[1] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net27)); + sky130_fd_sc_hd__buf_2 fanout28 (.A(net29), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net28)); + sky130_fd_sc_hd__buf_2 fanout29 (.A(\top_inst.spi_inst.state[1] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net29)); + sky130_fd_sc_hd__buf_2 fanout30 (.A(\top_inst.controller_inst.spi_ready ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net30)); + sky130_fd_sc_hd__clkbuf_2 fanout31 (.A(\top_inst.controller_inst.spi_ready ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net31)); + sky130_fd_sc_hd__buf_2 fanout32 (.A(net33), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net32)); + sky130_fd_sc_hd__clkbuf_2 fanout33 (.A(\top_inst.controller_inst.spi_ready ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net33)); + sky130_fd_sc_hd__buf_2 fanout34 (.A(net36), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net34)); + sky130_fd_sc_hd__buf_2 fanout35 (.A(net36), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net35)); + sky130_fd_sc_hd__dlymetal6s2s_1 fanout36 (.A(\top_inst.controller_inst.spi_ready ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net36)); + sky130_fd_sc_hd__buf_2 fanout37 (.A(\top_inst.address[3] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net37)); + sky130_fd_sc_hd__buf_2 fanout38 (.A(\top_inst.address[2] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net38)); + sky130_fd_sc_hd__clkbuf_2 fanout39 (.A(\top_inst.address[1] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net39)); + sky130_fd_sc_hd__dlymetal6s2s_1 fanout40 (.A(\top_inst.address[1] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net40)); + sky130_fd_sc_hd__buf_2 fanout41 (.A(\top_inst.address[0] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net41)); + sky130_fd_sc_hd__buf_1 fanout42 (.A(\top_inst.address[0] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net42)); + sky130_fd_sc_hd__clkbuf_2 fanout43 (.A(net44), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net43)); + sky130_fd_sc_hd__clkbuf_2 fanout44 (.A(net49), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net44)); + sky130_fd_sc_hd__clkbuf_2 fanout45 (.A(net49), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net45)); + sky130_fd_sc_hd__buf_1 fanout46 (.A(net49), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net46)); + sky130_fd_sc_hd__clkbuf_2 fanout47 (.A(net49), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net47)); + sky130_fd_sc_hd__clkbuf_2 fanout48 (.A(net49), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net48)); + sky130_fd_sc_hd__clkbuf_2 fanout49 (.A(net62), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net49)); + sky130_fd_sc_hd__clkbuf_2 fanout50 (.A(net51), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net50)); + sky130_fd_sc_hd__clkbuf_2 fanout51 (.A(net53), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net51)); + sky130_fd_sc_hd__clkbuf_2 fanout52 (.A(net62), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net52)); + sky130_fd_sc_hd__buf_1 fanout53 (.A(net62), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net53)); + sky130_fd_sc_hd__clkbuf_2 fanout54 (.A(net57), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net54)); + sky130_fd_sc_hd__clkbuf_2 fanout55 (.A(net57), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net55)); + sky130_fd_sc_hd__buf_1 fanout56 (.A(net57), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net56)); + sky130_fd_sc_hd__clkbuf_2 fanout57 (.A(net62), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net57)); + sky130_fd_sc_hd__clkbuf_2 fanout58 (.A(net61), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net58)); + sky130_fd_sc_hd__clkbuf_2 fanout59 (.A(net61), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net59)); + sky130_fd_sc_hd__buf_1 fanout60 (.A(net61), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net60)); + sky130_fd_sc_hd__clkbuf_2 fanout61 (.A(net62), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net61)); + sky130_fd_sc_hd__clkbuf_2 fanout62 (.A(\top_inst.clk ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net62)); + sky130_fd_sc_hd__clkbuf_2 fanout63 (.A(_162_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net63)); + sky130_fd_sc_hd__clkbuf_2 fanout64 (.A(net67), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net64)); + sky130_fd_sc_hd__buf_2 fanout65 (.A(net66), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net65)); + sky130_fd_sc_hd__buf_2 fanout66 (.A(net67), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net66)); + sky130_fd_sc_hd__clkbuf_2 fanout67 (.A(net2), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net67)); + sky130_fd_sc_hd__conb_1 _854__68 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .LO(net68)); + sky130_fd_sc_hd__conb_1 _855__69 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .LO(net69)); + sky130_fd_sc_hd__conb_1 _856__70 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .LO(net70)); + sky130_fd_sc_hd__conb_1 _857__71 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .LO(net71)); + sky130_fd_sc_hd__conb_1 _858__72 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .LO(net72)); + sky130_fd_sc_hd__conb_1 _859__73 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .LO(net73)); + sky130_fd_sc_hd__conb_1 _860__74 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .LO(net74)); + sky130_fd_sc_hd__conb_1 _861__75 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .LO(net75)); + sky130_fd_sc_hd__conb_1 _862__76 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .LO(net76)); + sky130_fd_sc_hd__conb_1 _863__77 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .LO(net77)); + sky130_fd_sc_hd__conb_1 _864__78 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .LO(net78)); + sky130_fd_sc_hd__conb_1 _865__79 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .LO(net79)); + sky130_fd_sc_hd__conb_1 _866__80 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .LO(net80)); + sky130_fd_sc_hd__conb_1 _867__81 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .LO(net81)); + sky130_fd_sc_hd__conb_1 _868__82 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .LO(net82)); + sky130_fd_sc_hd__conb_1 _869__83 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .LO(net83)); + sky130_fd_sc_hd__conb_1 _873__84 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .LO(net84)); + sky130_fd_sc_hd__conb_1 _874__85 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .LO(net85)); + sky130_fd_sc_hd__conb_1 _875__86 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .LO(net86)); + sky130_fd_sc_hd__conb_1 _876__87 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .LO(net87)); + sky130_fd_sc_hd__conb_1 _877__88 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .LO(net88)); + sky130_fd_sc_hd__clkbuf_16 clkbuf_1_0__f_clk (.A(clknet_0_clk), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(clknet_1_0__leaf_clk)); + sky130_fd_sc_hd__clkbuf_16 clkbuf_1_1__f_clk (.A(clknet_0_clk), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(clknet_1_1__leaf_clk)); + sky130_fd_sc_hd__dlygate4sd3_1 hold1 (.A(\top_inst.counter[1] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net89)); + sky130_fd_sc_hd__dlygate4sd3_1 hold2 (.A(_012_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net90)); + sky130_fd_sc_hd__dlygate4sd3_1 hold3 (.A(\top_inst.counter[3] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net91)); + sky130_fd_sc_hd__dlygate4sd3_1 hold4 (.A(\top_inst.counter[0] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net92)); + sky130_fd_sc_hd__diode_2 ANTENNA_1 (.DIODE(net1), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_0_3 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_0_15 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_1 FILLER_0_0_27 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_0_29 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_0_41 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_3 FILLER_0_0_53 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_0_57 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_0_69 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_3 FILLER_0_0_81 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_0_85 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_0_97 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_3 FILLER_0_0_109 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_0_113 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_0_125 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_3 FILLER_0_0_137 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_0_141 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_0_153 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_3 FILLER_0_0_165 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_0_169 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_0_181 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_3 FILLER_0_0_193 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_0_197 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_0_209 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_3 FILLER_0_0_221 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_0_225 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_0_237 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_3 FILLER_0_0_249 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_0_253 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_0_265 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_3 FILLER_0_0_277 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_0_281 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_0_293 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_3 FILLER_0_0_305 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_0_309 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_0_321 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_2 FILLER_0_0_333 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_1_3 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_1_15 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_1_27 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_1_39 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_4 FILLER_0_1_51 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_1_55 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_1_57 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_1_69 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_1_81 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_1_93 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_6 FILLER_0_1_105 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_1_111 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_1_113 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_1_125 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_1_137 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_1_149 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_6 FILLER_0_1_161 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_1_167 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_1_169 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_1_181 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_1_193 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_1_205 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_6 FILLER_0_1_217 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_1_223 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_1_225 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_1_237 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_1_249 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_1_261 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_6 FILLER_0_1_273 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_1_279 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_1_281 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_1_293 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_1_305 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_1_317 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_6 FILLER_0_1_329 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_2_3 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_2_15 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_1 FILLER_0_2_27 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_2_29 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_2_41 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_2_53 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_2_65 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_6 FILLER_0_2_77 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_2_83 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_2_85 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_2_97 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_2_109 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_2_121 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_6 FILLER_0_2_133 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_2_139 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_2_141 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_2_153 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_2_165 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_2_177 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_6 FILLER_0_2_189 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_2_195 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_2_197 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_2_209 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_2_221 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_2_233 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_6 FILLER_0_2_245 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_2_251 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_2_253 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_2_265 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_2_277 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_2_289 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_6 FILLER_0_2_301 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_2_307 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_2_309 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_2_321 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_2 FILLER_0_2_333 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_3_3 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_3_15 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_3_27 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_3_39 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_4 FILLER_0_3_51 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_3_55 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_3_57 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_3_69 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_3_81 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_3_93 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_6 FILLER_0_3_105 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_3_111 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_3_113 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_3_125 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_3_137 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_3_149 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_6 FILLER_0_3_161 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_3_167 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_3_169 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_3_181 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_3_193 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_3_205 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_6 FILLER_0_3_217 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_3_223 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_3_225 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_3_237 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_3_249 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_3_261 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_6 FILLER_0_3_273 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_3_279 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_3_281 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_3_293 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_3_305 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_3_317 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_6 FILLER_0_3_329 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_4_3 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_4_15 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_1 FILLER_0_4_27 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_4_29 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_8 FILLER_0_4_41 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_4_49 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_4_54 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_4_66 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_6 FILLER_0_4_78 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_4_90 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_4_102 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_4_114 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_2 FILLER_0_4_126 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_6 FILLER_0_4_133 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_4_139 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_4_149 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_4_161 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_4_173 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_8 FILLER_0_4_185 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_4_193 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_4_197 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_4_209 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_4_221 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_4_233 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_6 FILLER_0_4_245 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_4_251 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_4_253 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_4_265 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_4_277 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_4_289 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_6 FILLER_0_4_301 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_4_307 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_4_309 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_4_321 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_2 FILLER_0_4_333 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_5_3 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_5_15 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_5_27 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_4 FILLER_0_5_39 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_5_43 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_5_54 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_5_62 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_8 FILLER_0_5_74 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_5_98 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_2 FILLER_0_5_110 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_5_113 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_5_117 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_5_139 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_5_157 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_5_165 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_5_169 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_5_181 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_5_193 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_5_205 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_6 FILLER_0_5_217 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_5_223 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_5_225 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_5_237 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_5_249 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_5_261 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_6 FILLER_0_5_273 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_5_279 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_5_281 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_5_293 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_5_305 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_5_317 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_6 FILLER_0_5_329 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_6_3 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_6_15 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_1 FILLER_0_6_27 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_6_29 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_1 FILLER_0_6_80 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_6 FILLER_0_6_108 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_6_114 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_6_136 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_6_153 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_6_165 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_6_177 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_6 FILLER_0_6_189 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_6_195 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_6_197 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_6_209 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_6_221 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_6_233 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_6 FILLER_0_6_245 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_6_251 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_6_253 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_6_265 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_6_277 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_6_289 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_6 FILLER_0_6_301 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_6_307 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_6_309 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_6_321 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_2 FILLER_0_6_333 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_7_3 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_7_15 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_8 FILLER_0_7_27 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_7_35 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_7_63 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_6 FILLER_0_7_75 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_7_99 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_1 FILLER_0_7_111 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_7_113 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_7_148 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_7_167 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_7_169 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_7_181 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_7_193 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_7_205 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_6 FILLER_0_7_217 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_7_223 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_7_225 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_7_237 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_7_249 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_7_261 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_6 FILLER_0_7_273 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_7_279 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_7_281 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_7_293 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_7_305 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_7_317 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_6 FILLER_0_7_329 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_8_3 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_8_15 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_1 FILLER_0_8_27 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_8_29 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_4 FILLER_0_8_41 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_8_45 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_6 FILLER_0_8_56 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_8_69 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_8_77 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_8_85 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_8_107 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_8 FILLER_0_8_119 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_8_127 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_8_157 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_8_169 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_8_181 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_3 FILLER_0_8_193 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_8_197 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_8_209 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_8_221 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_8 FILLER_0_8_233 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_8_248 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_8_253 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_8_265 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_8_277 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_8_289 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_6 FILLER_0_8_301 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_8_307 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_8_309 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_8_321 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_2 FILLER_0_8_333 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_9_3 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_9_15 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_9_27 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_6 FILLER_0_9_39 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_9_55 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_9_57 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_9_61 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_9_70 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_9_80 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_9_84 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_9_101 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_9_109 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_6 FILLER_0_9_118 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_9_124 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_9_146 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_9_167 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_9_169 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_3 FILLER_0_9_181 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_9_191 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_9_199 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_6 FILLER_0_9_218 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_9_264 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_4 FILLER_0_9_276 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_9_281 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_9_293 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_9_305 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_9_317 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_6 FILLER_0_9_329 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_10_3 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_10_11 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_10_34 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_10_41 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_10_52 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_10_62 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_10_69 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_10_83 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_10_85 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_6 FILLER_0_10_97 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_10_103 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_10_108 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_1 FILLER_0_10_120 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_10_137 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_10_157 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_8 FILLER_0_10_169 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_10_177 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_10_211 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_10_221 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_10_289 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_6 FILLER_0_10_301 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_10_307 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_10_309 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_10_327 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_11_3 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_11_11 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_11_64 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_11_94 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_11_98 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_11_108 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_11_113 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_11_123 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_2 FILLER_0_11_135 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_11_146 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_8 FILLER_0_11_158 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_11_166 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_11_185 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_6 FILLER_0_11_218 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_11_241 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_11_281 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_11_301 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_6 FILLER_0_11_329 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_12_3 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_12_11 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_12_27 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_12_34 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_4 FILLER_0_12_46 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_12_54 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_12_62 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_12_70 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_12_82 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_12_85 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_12_93 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_12_106 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_12_114 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_12_125 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_3 FILLER_0_12_137 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_12_141 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_12_153 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_4 FILLER_0_12_165 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_12_169 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_12_193 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_12_197 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_12_209 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_12_221 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_12_233 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_6 FILLER_0_12_245 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_12_251 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_12_253 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_12_257 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_12_274 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_12_284 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_12_293 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_12_332 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_13_3 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_2 FILLER_0_13_15 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_13_24 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_13_35 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_8 FILLER_0_13_47 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_13_55 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_13_57 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_13_65 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_13_91 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_1 FILLER_0_13_103 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_13_134 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_2 FILLER_0_13_146 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_13_164 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_13_169 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_13_180 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_13_192 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_13_204 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_8 FILLER_0_13_216 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_13_240 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_13_248 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_13_252 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_13_281 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_13_293 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_3 FILLER_0_13_305 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_6 FILLER_0_13_329 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_14_3 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_14_11 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_14_32 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_14_40 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_14_46 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_8 FILLER_0_14_58 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_14_70 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_14_80 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_14_85 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_8 FILLER_0_14_97 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_14_114 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_14_141 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_14_149 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_14_167 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_14_171 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_14_179 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_14_185 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_14_189 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_14_214 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_2 FILLER_0_14_226 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_14_231 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_14_242 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_14_250 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_14_253 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_14_261 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_14_280 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_6 FILLER_0_14_292 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_14_298 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_14_306 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_14_323 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_15_3 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_4 FILLER_0_15_52 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_15_70 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_4 FILLER_0_15_82 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_15_91 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_2 FILLER_0_15_103 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_15_109 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_15_113 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_15_124 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_15_137 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_2 FILLER_0_15_149 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_15_160 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_15_167 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_15_185 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_15_193 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_15_203 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_15_222 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_15_234 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_15_252 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_6 FILLER_0_15_297 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_15_326 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_15_334 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_16_3 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_2 FILLER_0_16_15 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_16_29 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_16_81 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_16_85 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_1 FILLER_0_16_97 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_16_138 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_16_145 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_16_153 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_16_162 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_16_168 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_16_178 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_16_184 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_8 FILLER_0_16_197 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_16_205 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_16_209 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_16_232 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_16_241 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_16_249 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_16_253 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_16_287 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_1 FILLER_0_16_299 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_16_307 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_16_309 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_16_327 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_17_3 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_17_15 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_17_27 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_17_39 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_4 FILLER_0_17_51 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_17_55 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_17_57 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_17_65 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_17_69 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_3 FILLER_0_17_81 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_17_94 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_17_102 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_6 FILLER_0_17_106 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_17_137 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_8 FILLER_0_17_149 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_17_157 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_17_167 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_17_173 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_17_177 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_17_188 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_17_192 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_17_203 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_17_221 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_17_225 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_4 FILLER_0_17_237 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_17_247 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_6 FILLER_0_17_258 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_17_264 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_17_270 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_17_278 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_17_281 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_17_293 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_1 FILLER_0_17_305 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_17_320 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_3 FILLER_0_17_332 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_18_3 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_18_15 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_1 FILLER_0_18_27 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_18_29 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_18_41 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_18_53 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_18_65 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_6 FILLER_0_18_77 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_18_83 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_18_101 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_18_105 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_6 FILLER_0_18_117 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_6 FILLER_0_18_130 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_18_139 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_6 FILLER_0_18_147 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_18_172 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_18_184 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_4 FILLER_0_18_197 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_18_208 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_18_220 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_18_227 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_18_231 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_6 FILLER_0_18_245 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_18_251 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_18_253 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_18_257 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_18_261 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_18_273 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_6 FILLER_0_18_285 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_18_295 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_1 FILLER_0_18_307 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_18_309 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_18_326 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_18_334 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_19_3 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_19_15 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_19_27 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_19_39 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_4 FILLER_0_19_51 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_19_55 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_19_57 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_19_69 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_1 FILLER_0_19_81 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_19_107 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_19_111 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_19_113 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_19_142 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_19_146 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_19_169 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_4 FILLER_0_19_181 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_19_185 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_19_193 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_19_209 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_6 FILLER_0_19_218 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_19_231 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_19_235 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_19_251 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_19_278 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_19_281 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_19_290 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_19_307 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_19_311 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_19_326 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_19_334 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_20_3 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_20_15 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_1 FILLER_0_20_27 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_20_29 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_20_41 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_20_53 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_8 FILLER_0_20_65 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_20_73 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_20_101 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_20_113 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_20_121 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_20_139 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_20_141 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_6 FILLER_0_20_153 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_20_175 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_8 FILLER_0_20_187 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_20_195 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_20_197 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_4 FILLER_0_20_209 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_20_213 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_20_229 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_20_237 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_20_244 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_20_253 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_20_272 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_20_276 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_20_281 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_6 FILLER_0_20_309 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_20_331 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_21_3 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_21_15 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_21_27 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_21_39 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_4 FILLER_0_21_51 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_21_55 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_21_57 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_6 FILLER_0_21_69 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_21_109 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_21_113 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_21_125 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_21_137 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_6 FILLER_0_21_149 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_21_155 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_21_166 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_21_169 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_21_197 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_21_209 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_3 FILLER_0_21_221 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_21_225 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_21_233 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_21_250 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_21_270 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_21_279 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_21_298 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_21_322 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_1 FILLER_0_21_334 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_22_3 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_22_15 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_1 FILLER_0_22_27 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_22_29 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_22_41 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_22_53 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_22_65 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_3 FILLER_0_22_77 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_22_85 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_22_105 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_22_117 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_8 FILLER_0_22_129 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_22_137 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_6 FILLER_0_22_189 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_22_195 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_22_218 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_22_230 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_8 FILLER_0_22_242 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_22_250 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_22_253 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_22_261 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_22_278 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_22_325 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_22_333 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_23_3 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_23_15 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_23_27 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_23_39 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_4 FILLER_0_23_51 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_23_55 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_23_57 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_23_69 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_8 FILLER_0_23_81 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_23_89 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_23_113 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_2 FILLER_0_23_125 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_23_132 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_23_151 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_4 FILLER_0_23_163 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_23_167 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_6 FILLER_0_23_241 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_23_247 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_23_255 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_23_279 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_23_288 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_6 FILLER_0_23_300 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_23_320 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_3 FILLER_0_23_332 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_24_3 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_24_15 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_1 FILLER_0_24_27 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_24_29 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_24_41 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_24_53 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_24_65 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_6 FILLER_0_24_77 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_24_83 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_24_85 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_24_97 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_24_109 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_4 FILLER_0_24_121 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_24_125 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_6 FILLER_0_24_133 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_24_139 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_24_153 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_24_163 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_24_175 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_2 FILLER_0_24_194 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_24_197 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_2 FILLER_0_24_209 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_6 FILLER_0_24_227 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_24_241 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_24_249 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_24_273 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_24_285 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_8 FILLER_0_24_297 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_24_305 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_24_325 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_24_333 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_25_3 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_25_15 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_25_27 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_25_39 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_4 FILLER_0_25_51 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_25_55 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_25_57 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_25_69 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_25_81 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_25_93 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_6 FILLER_0_25_105 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_25_111 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_25_129 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_6 FILLER_0_25_169 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_25_175 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_25_192 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_25_204 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_8 FILLER_0_25_216 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_6 FILLER_0_25_249 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_25_255 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_25_279 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_25_281 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_25_305 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_25_331 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_26_3 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_26_15 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_1 FILLER_0_26_27 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_26_29 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_26_41 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_26_53 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_26_65 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_6 FILLER_0_26_77 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_26_83 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_26_85 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_26_97 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_6 FILLER_0_26_109 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_26_115 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_26_132 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_6 FILLER_0_26_190 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_26_197 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_4 FILLER_0_26_209 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_26_213 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_6 FILLER_0_26_246 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_26_277 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_3 FILLER_0_26_289 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_6 FILLER_0_26_309 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_26_331 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_27_3 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_27_15 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_27_27 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_27_39 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_4 FILLER_0_27_51 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_27_55 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_27_57 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_27_69 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_27_81 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_27_93 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_6 FILLER_0_27_105 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_27_111 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_27_113 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_27_125 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_4 FILLER_0_27_137 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_27_141 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_27_153 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_3 FILLER_0_27_165 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_6 FILLER_0_27_169 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_27_191 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_27_211 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_27_215 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_27_237 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_8 FILLER_0_27_249 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_27_257 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_27_275 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_27_279 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_27_281 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_27_289 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_27_331 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_28_3 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_28_15 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_1 FILLER_0_28_27 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_28_29 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_28_41 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_28_53 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_28_65 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_6 FILLER_0_28_77 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_28_83 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_28_85 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_28_97 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_4 FILLER_0_28_109 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_28_113 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_28_160 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_28_168 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_28_178 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_28_186 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_28_193 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_28_197 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_28_237 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_3 FILLER_0_28_249 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_28_261 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_28_287 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_28_303 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_28_307 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_6 FILLER_0_28_309 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_28_319 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_4 FILLER_0_28_331 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_29_3 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_29_15 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_29_27 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_29_39 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_4 FILLER_0_29_51 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_29_55 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_29_57 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_29_69 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_29_81 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_29_93 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_2 FILLER_0_29_110 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_29_113 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_29_121 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_29_155 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_1 FILLER_0_29_167 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_29_185 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_29_197 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_3 FILLER_0_29_209 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_29_222 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_6 FILLER_0_29_225 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_29_231 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_29_272 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_6 FILLER_0_29_281 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_29_311 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_29_323 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_30_3 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_30_15 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_1 FILLER_0_30_27 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_30_29 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_30_41 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_30_53 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_30_65 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_6 FILLER_0_30_77 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_30_83 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_30_85 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_3 FILLER_0_30_118 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_30_124 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_30_137 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_30_145 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_6 FILLER_0_30_157 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_30_163 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_30_187 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_30_195 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_30_197 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_30_205 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_30_224 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_8 FILLER_0_30_236 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_30_264 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_6 FILLER_0_30_276 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_30_282 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_30_307 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_30_324 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_30_332 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_31_3 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_31_15 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_31_27 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_31_39 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_4 FILLER_0_31_51 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_31_55 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_31_57 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_31_69 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_8 FILLER_0_31_81 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_31_89 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_31_110 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_31_113 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_8 FILLER_0_31_125 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_31_133 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_31_140 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_8 FILLER_0_31_152 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_31_160 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_6 FILLER_0_31_193 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_31_223 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_31_225 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_31_233 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_31_266 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_2 FILLER_0_31_278 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_31_281 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_31_299 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_31_332 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_32_3 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_32_15 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_1 FILLER_0_32_27 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_32_29 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_32_41 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_32_53 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_32_65 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_6 FILLER_0_32_77 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_32_83 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_6 FILLER_0_32_85 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_32_119 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_32_123 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_32_150 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_32_193 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_32_197 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_6 FILLER_0_32_230 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_32_253 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_32_264 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_32_276 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_4 FILLER_0_32_288 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_32_296 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_4 FILLER_0_32_309 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_6 FILLER_0_32_329 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_33_3 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_33_15 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_33_27 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_33_39 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_4 FILLER_0_33_51 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_33_55 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_33_57 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_33_69 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_33_81 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_4 FILLER_0_33_93 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_33_97 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_33_110 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_33_113 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_33_121 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_33_156 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_33_169 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_33_179 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_33_194 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_4 FILLER_0_33_206 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_33_210 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_33_219 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_33_223 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_33_225 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_6 FILLER_0_33_249 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_33_255 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_33_272 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_33_281 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_33_308 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_33_324 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_33_333 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_34_3 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_34_15 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_1 FILLER_0_34_27 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_34_29 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_34_41 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_34_53 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_34_65 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_6 FILLER_0_34_77 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_34_83 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_34_85 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_34_97 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_34_109 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_8 FILLER_0_34_121 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_34_129 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_34_157 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_34_169 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_34_183 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_34_193 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_34_197 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_34_205 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_34_216 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_4 FILLER_0_34_228 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_34_232 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_34_237 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_34_247 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_34_251 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_34_253 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_34_270 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_34_287 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_34_325 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_35_3 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_35_15 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_35_27 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_35_39 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_4 FILLER_0_35_51 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_35_55 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_35_57 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_35_69 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_35_81 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_35_93 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_6 FILLER_0_35_105 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_35_111 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_35_113 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_35_125 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_35_137 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_35_149 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_6 FILLER_0_35_161 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_35_167 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_35_169 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_8 FILLER_0_35_197 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_35_205 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_35_222 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_35_232 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_35_240 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_35_275 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_35_301 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_35_334 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_36_3 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_36_15 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_1 FILLER_0_36_27 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_36_29 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_36_41 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_36_53 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_2 FILLER_0_36_65 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_36_70 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_36_74 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_36_80 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_36_85 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_36_89 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_36_93 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_36_99 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_36_104 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_36_110 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_36_116 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_36_121 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_36_127 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_36_133 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_36_141 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_36_146 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_36_152 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_36_158 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_36_164 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_36_195 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_36_211 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_6 FILLER_0_36_231 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_36_240 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_36_260 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_36_272 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_3 FILLER_0_36_284 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_36_313 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_37_3 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_37_15 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_37_27 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_37_39 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_4 FILLER_0_37_51 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_37_55 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_37_57 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_37_75 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_37_87 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_37_93 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_37_99 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_37_105 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_37_110 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_37_117 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_37_122 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_37_128 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_37_134 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_37_140 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_37_146 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_37_162 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_37_176 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_37_209 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_6 FILLER_0_37_273 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_37_279 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_37_281 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_38_3 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_38_15 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_1 FILLER_0_38_27 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_38_29 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_38_41 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_3 FILLER_0_38_53 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_38_57 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_38_69 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_3 FILLER_0_38_81 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_38_85 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_38_97 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_3 FILLER_0_38_109 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_38_113 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_38_125 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_3 FILLER_0_38_137 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_38_141 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_8 FILLER_0_38_153 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_38_164 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_38_169 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_38_173 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_4 FILLER_0_38_185 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_38_193 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_38_201 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_8 FILLER_0_38_213 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_38_221 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_38_225 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_38_253 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_8 FILLER_0_38_265 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_38_273 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_38_281 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_38_291 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_38_333 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); +endmodule