Skip to content

Pinned Loading

  1. tt09-verilog-template tt09-verilog-template Public template

    Submission template for Tiny Tapeout 9 - Verilog HDL Projects

    Verilog 5 42

  2. tt09-analog-template tt09-analog-template Public template

    Submission template for Tiny Tapeout 9 - Analog and Mixed Signal Projects

    Verilog 1 2

  3. tinytapeout-09 tinytapeout-09 Public

    Tiny Tapeout 9

    Verilog 2 1

  4. tinytapeout_www tinytapeout_www Public

    Tiny Tapeout Website

    TypeScript 5 21

  5. tt-demo-pcb tt-demo-pcb Public

    Demo board for TT4 and beyond

    19 4

  6. vga-playground vga-playground Public

    Playground for VGA projects on Tiny Tapeout

    JavaScript 52 8

Repositories

Showing 10 of 285 repositories
  • tinytapeout-06 Public

    Tiny Tapeout 06

    TinyTapeout/tinytapeout-06’s past year of commit activity
    Verilog 9 Apache-2.0 12 0 0 Updated Oct 18, 2024
  • ttihp-verilog-template Public template
    TinyTapeout/ttihp-verilog-template’s past year of commit activity
    Verilog 0 Apache-2.0 8 0 0 Updated Oct 18, 2024
  • tinytapeout_www Public

    Tiny Tapeout Website

    TinyTapeout/tinytapeout_www’s past year of commit activity
    TypeScript 5 21 9 1 Updated Oct 17, 2024
  • IHP-Open-PDK Public Forked from IHP-GmbH/IHP-Open-PDK

    130nm BiCMOS Open Source PDK, dedicated for Analog, Mixed Signal and RF Design

    TinyTapeout/IHP-Open-PDK’s past year of commit activity
    HTML 0 Apache-2.0 61 0 0 Updated Oct 17, 2024
  • tinytapeout-09 Public

    Tiny Tapeout 9

    TinyTapeout/tinytapeout-09’s past year of commit activity
    Verilog 2 Apache-2.0 1 0 0 Updated Oct 17, 2024
  • tt-gds-action Public

    Tiny Tapeout GDS Action (using OpenLane)

    TinyTapeout/tt-gds-action’s past year of commit activity
    6 Apache-2.0 13 1 0 Updated Oct 16, 2024
  • tt-support-tools Public

    tools used by project repos to test configuration, generate OpenLane run summaries and documentation

    TinyTapeout/tt-support-tools’s past year of commit activity
    Python 15 Apache-2.0 15 1 0 Updated Oct 14, 2024
  • tt09-analog-template Public template

    Submission template for Tiny Tapeout 9 - Analog and Mixed Signal Projects

    TinyTapeout/tt09-analog-template’s past year of commit activity
    Verilog 1 Apache-2.0 2 0 0 Updated Oct 14, 2024
  • volare-action Public

    Action to install PDKs using volare (with caching)

    TinyTapeout/volare-action’s past year of commit activity
    0 Apache-2.0 1 0 0 Updated Oct 13, 2024
  • tt-demo-pcb Public

    Demo board for TT4 and beyond

    TinyTapeout/tt-demo-pcb’s past year of commit activity
    19 Apache-2.0 4 4 0 Updated Oct 10, 2024

Most used topics

Loading…